preview all symposia

2018 Fall Meeting

SEMICONDUCTORS AND ELECTRONIC MATERIALS

U

Monolithic and heterogeneous integration of advanced materials & devices on silicon

The symposium aims to gather scientists working on monolithic and heterogeneous integration to expand silicon technology. It is an evolution of a series of symposia that attracted a large number of attendees over the years. This research field paves the way towards highly functionalized Si-based technologies that can address challenges in our societies.

Scope:

The microelectronics industry has delivered faster and efficient computing devices at a remarkably consistent pace for several decades now. This achievement is mainly due to the classic silicon MOS transistor, which allowed the device scaling down, while improving speed and energy consumption. These benefits led to the rise of high performance and affordable computers, to mobile & low power devices. More recently, the performance demand is pulled by new markets driven by societal needs like the Internet-of-thing, ultra-fast data communication, cognitive systems, application in life-sciences and new computing paradigms. However, transistors cannot scale down indefinitely. The microelectronics industry is therefore looking beyond the classic silicon transistor to secure the future of a new generation of devices. But the best candidates are likely to be those that can be integrated with conventional silicon chip technology. The integration of new materials, like alternative semiconductors or oxides, with conventional silicon electronics will open up a wide range of applications, from ubiquitous low-power devices to photonic based interconnects and quantum information processors. The symposium aims to highlight novel and innovative approaches that allow monolithic and heterogeneous integration on silicon baseline technology, either for CMOS applications (e.g. steep slope switches) or integrated photonics (e.g. monolithic lasers and silicon–organic hybrid modulators on a Si platform). The scope includes fundamental materials understanding, using novel integration schemes and/or state-of-the art modelling, or targeting new fields of application. The focus will be on the fabrication, characterization, and simulation (semi-empirical or ab-initio) of materials considered as non-standard for Si technology, such as strained SiGe, (Si)GeSn(C) etc.; compound semiconductors (III-V, II-VI); oxides, nitrides; and two- dimensional materials (graphene, BN, MX2). Contributions related to innovative hetero-integration techniques (advanced heteroepitaxy, layer transfer, wafer bonding, microstructure printing, self-assembly etc.) will be encouraged. Finally, a particular attention will be given to devices and applications demanding an interdisciplinary approach such as RF applications, biomedical or environmental sensing concepts realized on a semiconductor platform (THz sensing and SERS with semiconductor plasmonics), and to materials innovations that aim at addressing new computing paradigms such as quantum and neuromorphic computation. The productive interaction across disciplines will help materials scientists to drive the exciting transition towards higher-value, highly functionalized Si-based microelectronics, supporting technology that can address today’s and tomorrow’s societal needs.

Hot topics to be covered by the symposium

Materials science, characterization and simulation:  

  • Group IV and compound semiconductors:
  • SiGe, Ge, and (Si)GeSn(C) 3D, 2D, 1D, and 0D heterostructures, semiconductors on insulators (SOI, GOI, sSOI, etc.).
  • Arsenides, phosphides, nitrides and antimonides, II-VI compounds

Oxides and nitrides: 

  • Functional perovskites, ZnO, GaN and heterostructures, oxides with resistive or metal insulator transition, topological insulators, piezoelectric materials

2 dimensional materials:         

  • Graphene and carbon nanotubes, Calchogenides, Boron Nitride

Structural and electronic modelling:

  • Atomistic/continuum simulations of strain release processes, growth simulations; multiscale approaches, ab initio/tight binding modelling of electronic and optical properties of films and nanostructures 

Integration Techniques:  

Advanced heteroepitaxy:

  • Selective growth or selective deposition on patterned substrates, epitaxial lateral overgrowth, self- assembly techniques.

Layer Transfer:

  • Wafer bonding, Layer release techniques, Microstructure printing

3D integration:

  • Monolithic sequential integration, Die to wafer, Through Silicon Via techniques, 2.5D interposers. 

Applications

Data processing and communication:

  • Quantum computing and communication, Advanced CMOS scaling; high-power & RF frequency devices; ultra low power electronic; new transistor geometries, Integrated photonics; IR and THz lasers; CMOS-Si electro-optical integration 

Life-Sciences application and environmental sensors:

  • Semiconductor plasmonics for SERS, THZ sensing, gas sensors etc., integration with piezo-materials for MEMS-like sensors and opto-mechanics

Device Simulation:

  • Advanced TCAD methods, nanoelectronic device simulation

List of invited speakers:

  • R. Calarco (PDI Berlin, Germany), «Epitaxial growth of van der Waals bonded halcogenides-based superlattices»
  • M. Brehm (JKU Linz, Austria), «On site controlled and advanced Ge/Si quantum dots»
  • H. Liu (U.C.London, UK), «Monolithically Integrated III-V Quantum Dot Light Sources on Silicon»
  • T. Ernst (CEA/LETI, France), «Heterogeneous integration for novel generations of integrated systems»
  • S. Christiansen (Helmholtz Zentrum Berlin, Germany), «3D nano-architectures on Si platforms»
  • Monica De Seta (Roma Tre, Italy),  «Towards a THz quantum cascade laser based on silicon heterostructures»
  • V. Kaganer (PDI Berlin, Germany), «In-depth characterization of defects in heteroepitaxial semiconductors by X-ray»
  • N. Cousineau (INP/CNRS, France), «CMOS circuits and monolithic integration for power semiconductor devices»
  • B. Vincent (Coventor/LAM), «Advanced Semiconductors Processing using Virtual fabrication»
  • G. Isella (Politecnico di Milano, Italy), «Ge and SiGe heterostructures for near- and mid-IR integrated photonics»
  • G. Patriarche (CNRS, France), «Molecular beam epitaxy of GaAs nanowires in a transmission electron microscope»
  • M. Salvalaglio (TUD Dresden, Germany), «Phase-field simulations of heteroepitaxial growth»

List of scientific committee members:

  • J.-P. Raskin (UC de Louvain, Belgium)
  • A. Spiesser (AIST, Japan)   
  • M. Zöllner (IHP, Germany)
  • S. Ballandras (Frequensys, France)
  • M. Myronov (U Warwick, UK)
  • S. Abel (IBM, Switzerland)
  • J.-N. Aqua (Institut des NanoSciences de Paris, France)   
  • G. Katsaros (Institute of Science and Technology, Austria)
  • E. Tournie (CNRS/Univ. Montpellier, France)
  • M. Houssa (KUL, Belgium)
  • M. Camarda (CNR Catania, Italy)
Start atSubject View AllNum.
 
Integration for devices : Didier Landru
09:00
Authors : Thomas Ernst
Affiliations : Silicon Components & Technologies Divisions, CEA-LETI

Resume : Internet of things is driving new générations of technologies and applications. This revolution implicates tiny System in Package (SiP) to micro or huge servers, disruptive communications for a wide range of applications as health, transportations, industry 4.0, smart cities & homes, environment, security. Some considered IoT systems should be embedded and autonomous (energy, decision…) , and designed for very different environments, even ingested. As a consequence, the range of hardware technologies that will drive Internet of things is huge. In this presentation we will present some trends and examples in new integrated systems for IoT that aim to optimize cost, energy consumption by combination of heterogeneous technologies.

U.1.1
09:30
Authors : Benjamin VINCENT, Joseph ERVIN
Affiliations : Coventor

Resume : This discussion will review the capabilities and benefits of using “virtual fabrication” software to develop new semiconductor devices. We will first discuss the concept of process modeling, and present behavioral models using Coventor’s SEMulator3D® virtual fabrication software as an example of this concept. We will then highlight some real-life examples of predicted versus actual device results obtained from the modeling of fabricated devices. During this talk, different process modeling options and modules will be presented. We will review modeling of advanced process steps like selective epitaxy, pattern dependency etch, and CMP, including integrated transistor electrical modeling and RC extraction analysis. Finally, we will demonstrate the value of running Virtual DOE (Design of Experiments) for process option selection and yield improvement, with examples of using virtual DOE to identify important process parameters and rank them by their impact on process variation.

U.1.2
10:00
Authors : M. Cousineau, N. Rouger , JC. Crebier , A. Bourennane, F. Richardeau
Affiliations : LAPLACE, Université de Toulouse, CNRS, Toulouse, France; LAAS, Université de Toulouse, CNRS, Toulouse, France; G2ELab, Université de Grenoble Alpes, INPG/UJF, CNRS, Grenoble, France

Resume : Power semiconductor devices are at the heart of the electrical power conversion, allowing more efficient power converters, with higher power densities thanks to new integration schemes. The intrinsic characteristics of silicon IGBTs (600V-6.5kV) and MOSFETs (100V-900V) have been continuously improved, to reach lower ON state specific resistances and lower switching losses. However, power transistors require additional functions such as Low/High Side gate drivers, with isolated power and signal transfers. The integration of gate drivers as close as possible to the power transistors are equired, together with novel integration and packaging techniques of power commutation cells. We will introduce the monolithic integration within a 600V vertical Silicon MOSFET of two low voltage functions, i.e. an optical receiver and a harvesting technique required to drive High Side power transistors. The monolithic integration of multiple Reverse Conducting 600V Silicon IGBTs in common anode or common cathode configurations will also be presented, towards fully and ultimate monolithic multi-phase power converter. A hybrid integration scheme will then be proposed, based on low voltage CMOS circuits, high voltage power transistors and optimized packaging techniques. The CMOS circuits are addressing new control techniques: improved hard switching trade-offs, fully decentralized approach for interleaved power converters and integrated signal and power transfers.

U.1.3
 
Group IV (I/II) : Inga Fischer
11:00
Authors : Moritz Brehm
Affiliations : Institute of Semiconductor and Solid State Physics, Johannes Kepler University Linz, Altenberger Strasse 69, 4040 Linz, Austria

Resume : Silicon Photonics aims to enable optical data communication compatible with Silicon-technology. While several active and passive components for Silicon Photonics such as e.g. modulators, waveguides, and detectors are well developed the search for the most fundamental element is still ongoing: A practical, low-cost and monolithic light source that allows for straightforward implementation on CMOS-based microprocessors and that can be easily coupled to existing Silicon photonics components. Here, we make use of epitaxially grown Ge quantum dots (QDs) in a defect-free crystalline Si matrix, as they are known since the early nineties. However, we will show that the light emission properties of such randomly nucleated or site-controlled Ge/Si QDs can be enhanced by intentional, low-dose and low-energy implantation of heavy ions into the QDs. We demonstrate lasing from these novel QDs in which defined point-defects states spatially confine electrons after their tunnelling into the nanostructures. This leads to optically direct transitions and, hence, efficient light emission. In contrast to conventional epitaxial Ge/Si QDs - these defect-enhanced QDs (DEQDs) exhibit shortened carrier lifetimes and negligible thermal quenching of the photoluminescence up to room-temperature (RT). Embedding the DEQDs into microdisk resonators leads to lasing characteristics up to RT upon optical excitation. Contact doping and hence fabrication of electrically driven devices is relatively straightforward in this nanosystem since DEQDs are embedded into a defect-free Si matrix. LEDs containing DEQDs show bright emission up to 100°C.

U.2.1
11:30
Authors : M. Montanari1,2, M. Virgilio3, C. L. Manganelli2, P. Zaumseil2, M. H. Zoellner2, Y. Hou2, L. Persichetti1, M. De Seta1, L. Di Gaspare1, and G. Capellini1,2
Affiliations : 1 Dipartimento di Scienze, Università degli Studi Roma Tre, Roma, 00146, Italy; 2 IHP, Frankfurt (Oder), 15236, Germany; 3 Dipartimento di Fisica, Università di Pisa, Pisa, 56127, Italy

Resume : Strained Ge/SiGe multiple quantum wells (MQWs) have attracted great interest for the realization of an efficient, Si-compatible light emitter. As a matter of fact, they meet the requirements of compatibility with the CMOS technology and light emission in the C-U telecommunication bands. Moreover, when tensile strain is induced in the Ge well material, the radiative efficiency of Ge can be greatly enhanced thanks to the effect of quantum confinement, and highly efficient sources can be envisaged. Although room-temperature direct-gap emission has been already observed by means of electroluminescence and photoluminescence, a detailed study of the optical properties of this interesting material is still missing. In this talk, we report on a study of the optical properties of strained Ge MQWs surrounded by Ge-rich SiGe barriers, grown on reverse-graded SiGe virtual substrates. The high quality of the samples has been confirmed by transmission electron microscopy, as well as X-ray diffraction and atomic force microscopy. The interplay of key parameters such as quantum confinement, doping, interface roughness, crystal quality, and strain on the emission performances will be discussed with the support of a thorough theoretically model, used to evaluate the emission spectra of optically excited MQWs, taking into account the radiative recombinations of the excess carriers across the indirect and direct gaps.

U.2.2
11:45
Authors : Andrea Ballabio1, Jacopo Frigerio1, Andrea Barzaghi1, Saleh Firoozabadi2, Andreas Beyer2, Roberto Bergamaschini4, Marco Salvalaglio3, Axel Voigt3, Kerstin Volz2, Leo Miglio4 and Giovanni Isella1
Affiliations : 1 L-NESS, Dipartimento di Fisica, Politecnico di Milano, 20133 Milano, Italy; 2 Materials Science Center and Faculty of Physics, Philipps-Universitt Marburg, 35032 Marburg, Germany; 3 Institute of Scientific Computing, Technische Universitat Dresden, 01069 Dresden, Germany; 4 L-NESS, Department of Materials Science, Università di Milano- Bicocca, via Cozzi 53, I-20126 Milano, Italy

Resume : The epitaxial growth of high crystal quality Ge/Si heterostructures is extremely challenging due to the mismatched physical properties of the two semiconductors, such as lattice and thermal expansion coefficient. Recently we have demonstrated that Ge micro-crystals, tens of microns thick, can be epitaxially grown by Low-Energy Plasma-Enhanced CVD (LEPECVD) on deeply patterned Si substrates with no cracks and negligible threading dislocation densities. Due to high crystal quality of such microcrystals, these structures are suitable for producing opto-electronical devices, however an accurate control over their morphology is required. Here we present a systematic analysis of the evolution of the Si and Ge micro-crystals. A complete topological study (SEM, TEM and AFM) shows clear trends depending on the growth parameters. In some case, we found the presence of voids inside the micro-crystals related with the adatoms surface diffusion on different crystal facets. The morphology evolution has been studied by changing the growth parameters, e.g., temperature and growth rate, which are decoupled in our deposition tool. Phase-field simulations were also able to predict and confirm the topology behaviour, which is directly correlated with the material transport at the surface. The achievement of an accurate control over the micro-crystals topology is fundamental for using these structures as opto-electronic devices, such as X-ray detectors or multi-pixels SPADs.

U.2.3
12:00
Authors : S. Prucnal1,2, M. Wang1, Y. Berencen1, V. Zviagin3, R. Schmidt-Grund3, J. Grenzer1, R. Hübner1, A. Drozdziel2, K. Pyszniak2, M. Turek2, J. Żuk2, S. Zhou1
Affiliations : 1. Institute of Ion Beam Physics and Materials Research, Helmholtz-Zentrum Dresden-Rossendorf, Germany 2. Maria Curie-Sklodowska University, Pl. M. Curie-Sklodowskiej 1, 20-035 Lublin, Poland 3. Felix-Bloch-Institut für Festkörperphysik, Universität Leipzig Linnéstr. 5, 04103 Leipzig, Germany

Resume : Ge is an indirect band gap semiconductor with carrier mobility much higher than in Si. Moreover, using ultra-high n-type doping and strain engineering or alloying Ge with Sn or Pb, Ge can be converted to direct band gap semiconductor. Therefore, Ge is very promising to replace Si in next generation nanoelectronics. Here we present the formation of heavily doped n-type Ge [1], GeSn [2] and GePb alloys using ion implantation followed by millisecond range flash lamp annealing. The strain engineering and the composition of heavily P-doped GeSn and GePb films is confirmed by X-ray diffraction and Rutherford backscattering spectrometry, respectively. The change of the band gap as a function of Sn and Pb concentration is theoretically predicted using density functional theory and experimentally verified by near-infrared spectroscopic ellipsometry. It is shown that for the electron concentration higher than 1×1020 cm-3 the band gap renormalization in n-type alloys is partially compensated by the Burstein-Moss effect. These results indicate that Ge-based materials have a large potential for the engineering of near-infrared optoelectronic and plasmonic devices fully compatible with CMOS technology. 1. S. Prucnal et al., Semicond. Sci. Technol. 32, 115006 (2017) 2. S. Prucnal et al., Semicond. Sci. Technol. 33, 065008 (2018) Acknowledgement This work was partially supported by the National Science Centre, Poland, under Grant No. 2016/23/B/ST7/03451.

U.2.4
12:15
Authors : Minhyeong Lee, Eunjung Ko, and Dae-Hong Ko*
Affiliations : Department of Materials Science & Engineering, Yonsei University, Seoul, Korea.

Resume : Strain engineering via the epitaxy process in the embedded source/drain (S/D) has been widely employed to increase the carrier mobility and the drive current of the advanced logic metal-oxide semiconductor field-effect transistors (MOSFETs). Recently, in-situ-phosphorus-doped (ISPD) epitaxial Si has been drawing considerable interest due to their attractive electronic properties [1]. For the applications of epitaxial Si:P films to MOSFET devices, it is necessary to obtain a deeper understanding of fundamental physical properties. However, the impact of P incorporation into Si lattices on strain states and chemical bonding states has not been yet thoroughly understood in P-doped epitaxial Si films. In this study, we report on the effect of phosphorus doping and post-annealing on the strain states and chemical states in heavily P-doped epitaxial Si films grown on Si (001) substrates. Highly P-doped epitaxial Si films were grown on Si (100) substrates by using a reduced pressure chemical vapor deposition (RPCVD) system. Raman scattering and X-ray diffraction (XRD) measuremaents were performed to investigate composition and strain states within the Si:P epilayer. Chemical bonding states were also examined by high-resolution X-ray photoelectron spectroscopy (HR-XPS). Raman and XRD results clearly show that as the P concentration increases, the induced strain in the Si:P layers increases due to the incorporation of smaller P atoms in the Si lattices [2]. Additionally, XPS analysis of Si films doped with different P concentrations will also be presented in terms of qualitative identification and quantitative description of the changes in chemical states [3]. Our comprehensive study will give more insights into evaluating the atomic bonding states, composition, and strain states of the as-grown and annealed P-doped Si thin films. [1] X. Li, A. Dube, Z. Ye, S. Sharma, Y. Kim and S. Chu, ECS Trans. 64, 959-965 (2014) [2] M. Lee, E. Ko, and D-.H. Ko, J. Mater. Chem. C. 5(37), 9744-9752 (2017). [3] M. Lee, E. Ko, and D-.H. Ko, Appl. Surf. Sci. 443, 131-137 (2018).

U.2.5
 
Group IV (II/II) : TBC
14:00
Authors : G. Isella, A. Ballabio, D. Chrastina, J. Frigerio
Affiliations : LNESS - Dip. di Fisica Politecnico di Milano – Polo Territoriale di Como via Anzani 42 22100, Como – Italy; Centre de Nanosciences et de Nanotechnologies, Université Paris Sud, CNRS, Université Paris Saclay, 91405 Orsay, France

Resume : The recent interest towards chemical and biological sensing in the mid-infrared has fostered the need of high performance photonic integrated circuits operating in this spectral region. Germanium and silicon germanium alloys hold the potential to become the material platform of choice for waveguide-integrated near and mid-IR photonics, thanks to their wide transparency in this wavelength range. In this context epitaxy offers the unique possibility of avoiding silicon oxide cladding layers, which have limited transparency in the mid-IR, and of controlling the refractive index profile within the waveguide. In this framework, we are investigating Ge-rich SiGe as a promising material platform to develop passive optical devices operating in the mid-IR. The key elements of our approach are SiGe graded buffers, epitaxially grown on Si, where the linear grading of the Ge concentration from Si to Si1-xGex with a properly designed grading rate, allows a unique flexibility to engineer the refractive index profile of the structure. This ensures a strong confinement of the optical mode in the Ge-rich region and leads to the realization of SiGe waveguides and Mach-Zehnder interferometers operating between 5.5-8.5 μm with 2 dB/cm losses. Moreover, heavily n-doped Ge has demonstrated a strong potential for the realization of plasmonic devices operating in the same spectral region, opening a path toward the integration of plasmonic and photonic devices within the same material platform. As an additional example of the role played by epitaxial growth in Ge based near-IR photonics we will discuss the possibility of integrating Ge-based single photon detectors on Si substrates.

U.3.1
14:30
Authors : M. Montanari, C. Ciano, L. Di Gaspare, L. Persichetti, M. Virgilio, M. Ortolani, L. Baldassarre, G. Capellini, M. Zoellner, O. Skibitzki, A. Pashkin, M. Helm, G. Scalari, D. Stark, J. Faist, K. Rew, D.J. Paul , T. Grange, S. Birner, M. De Seta
Affiliations : Dipartimento di Scienze, Università Roma Tre; Dipartimento di Fisica “E. Fermi”, Università di Pisa; Dipartimento di Fisica, Università di Roma “La Sapienza”; IHP, Im Technologiepark 25, 15236 Frankfurt (Oder), Germany; Helmholtz-Zentrum Dresden-Rossendorf, 01314 Dresden, Germany; 6Institute for Quantum Electronics, ETH Zürich, Zürich, Switzerland; School of Engineering, University of Glasgow; nextnano GmbH 85586 Poing, Germany

Resume : The imaging and sensing technology operating in the THz region of the electromagnetic spectrum has a number of applications, with demonstrator products already available on the market for oncology imaging, production monitoring, and non-destructive test. However, the THz sources now at hand, are still bulky and too expensive for expanding this technology to other proposed applications, which also include, among other, THz bandwidth photonics and security imaging. A higher level of integration with control electronics, a lower production cost, and a broader wavelength range of emission towards the far-infrared, are all desirable features to expand the fields of application of THz radiation. N-type Ge/SiGe quantum cascade structures grown on top of a Si(001) substrate are particularly promising for realizing a Si based THz source. The low effective mass m*=0.118mo and long non radiative relaxation times due to the non-polar nature of the material, are expected to i) provide gain values close to those demonstrated in III-V quantum cascade structures at 4 K, and ii)to potentially enable 300 K operation. In this presentation we shall discuss the optical and structural properties of n-type s-Ge/SiGe multi-quantum wells and asymmetric coupled quantum wells grown on Si(001) substrates by means of ultrahigh vacuum chemical vapor deposition. By comparing far-infrared absorption, pump-and-probe, and time domain spectroscopies data with thorough theoretical modeling and simulations, we shall demonstrate how this material system can indeed be considered as a promising candidate for active material in quantum cascade lasers (QCL).We found i) narrow intersubband (ISB) absorption lines; ii) relatively long non radiative ISB relaxation times at high temperature; iii) relaxation times for different ISB transitions favorable to the population inversion. Leveraging on the promising results obtained by spectroscopy experiments, we theoretically investigate an electrically-pumped Ge/SiGe THz QCL through a non-Equilibrium Green Function formalism (nextnano.QCL), using for the material parameters needed to model the scattering processes, values estimated from the analysis of the optical experimental data. As expected, due to the weaker interaction with the phonon field with respect to III-V based devices, we find a lower impact of the temperature on the gain spectrum. This fact supports the efforts toward the demonstration of RT QCL devices.

U.3.2
15:00
Authors : Jacopo Frigerio, Joan Manel Ramirez, Samuel Serna, Andrea Ballabio, Vladyslav Vakarin, Qiankun Liu, Enrico Talamas Simola, Xavier Le Roux, Laurent Vivien, Giovanni Isella, Delphine Marris-Morini
Affiliations : L-NESS, Dipartimento di Fisica, Politecnico di Milano, Polo di Como, Via Anzani 42, I-22100 Como, Italy; 2Centre de Nanosciences et de Nanotechnologies, CNRS, Univ. Paris-Sud, Université Paris Saclay, C2N- Orsay, 91405 Orsay cedex, France;2Centre de Nanosciences et de Nanotechnologies, CNRS, Univ. Paris-Sud, Université Paris Saclay, C2N- Orsay, 91405 Orsay cedex, France; L-NESS, Dipartimento di Fisica, Politecnico di Milano, Polo di Como, Via Anzani 42, I-22100 Como, Italy; 2Centre de Nanosciences et de Nanotechnologies, CNRS, Univ. Paris-Sud, Université Paris Saclay, C2N- Orsay, 91405 Orsay cedex, France; 2Centre de Nanosciences et de Nanotechnologies, CNRS, Univ. Paris-Sud, Université Paris Saclay, C2N- Orsay, 91405 Orsay cedex, France; L-NESS, Dipartimento di Fisica, Politecnico di Milano, Polo di Como, Via Anzani 42, I-22100 Como, Italy; 2Centre de Nanosciences et de Nanotechnologies, CNRS, Univ. Paris-Sud, Université Paris Saclay, C2N- Orsay, 91405 Orsay cedex, France; 2Centre de Nanosciences et de Nanotechnologies, CNRS, Univ. Paris-Sud, Université Paris Saclay, C2N- Orsay, 91405 Orsay cedex, France; L-NESS, Dipartimento di Fisica, Politecnico di Milano, Polo di Como, Via Anzani 42, I-22100 Como, Italy; 2Centre de Nanosciences et de Nanotechnologies, CNRS, Univ. Paris-Sud, Université Paris Saclay, C2N- Orsay, 91405 Orsay cedex, France

Resume : Mid-infrared (MIR) photonics is receiving an increasing attention due to the variety of envisaged applications in medical diagnostics, biochemistry studies, chemical analytics, and environmental monitoring for safety and security. The interest towards the MIR resides in the presence of unique vibrational fingerprints of organic molecules in the wavelength range λ = 5 to 15 µm. Nowadays, commercially available MIR sensing systems are based on bulky and expensive equipment, thus the miniaturization of these systems is the subject of intense research efforts. In the last years, different approaches have been explored, mainly based on the use of Quantum cascade lasers integrated with quantum cascade detectors and on chalcogenides. Another promising option is to adapt the existing silicon photonics technology for MIR applications. In this context, Ge is a very promising material thanks to its transparency in the 1.9-15 µm range and to the strong Kerr effect, which can be used to exploit non-linear optical phenomena in the MIR. In this framework, we are investigating Ge-rich SiGe as a promising material platform to study non-linear optical phenomena in the MIR. In this work we report on the first experimental measurement of the Kerr non-linear refractive index in SiGe waveguides with a Ge concentration ranging from 70% to 90%. In addition, we will show that well engineered SiGe waveguides can feature a flat anomalous dispersion suitable for supercontinuum generation in the MIR.

U.3.3
15:15
Authors : C. L. Manganelli, M. Virgilio, M. Montanari, L.W. Nien, W. M. Klesse, G. Capellini
Affiliations : IHP, Frankfurt (Oder), 15236, Germany; Dipartimento di Fisica, Università di Pisa, Pisa, 56127, Italy; Dipartimento di Scienze, Università degli Studi Roma Tre, Roma, 00146, Italy

Resume : Raman spectroscopy is a non-invasive technique to obtain information on layer composition and strain in semiconductor heterostructures at the sub-µm scale. However, their quantitative assessment is dependent on phenomenological parameters such as the strain-induced shift of Raman-active phonon modes, whose values are typically known, as for Ge/Si material system, at room temperature. To the best of our knowledge there exists no study on the temperature dependence of the strain-shift coefficients. Such information would extend the knowledge on the physical of GeSi layers properties and is essential to understand the strain relaxation mechanisms at the low temperature where peculiar GeSi heterostructures-based devices, as quantum cascade lasers, can operate. In this talk, using Raman spectroscopy, we report on the frequency variation of the Ge-Ge mode in tensile strained SiN/Ge microstripes on SOI upon varying the temperature in the 80-340 K range. This system, of interest for the realization of Ge-based light emitting device, is an ideal platform for our experiments also owing to the expected impact of thermomechanical dynamics on optical emission properties. By combining the measurements of temperature-dependent Raman spectra with a computational model based on finite element approach, we were able to fully capture the evolution of lattice deformations in micro-stripes. We obtain a linear dependence of the strain-shift coefficient in Ge as a function of temperature. Moreover, we were able to model the temperature-dependent strain distribution in micro-stripes. The combination of FEM modeling and Raman spectroscopy lead to a general measurement procedure for the strain shift coefficient extendable to other material systems.

U.3.4
 
Session IV : TBC
16:00
Authors : Prof. Dr. Silke Christiansen
Affiliations : Helmholtz Zentrum für Materialien und Energie

Resume : 3D nanoarchitectures for energy technologies and bio-medical sensing - enhancing functionality through correlative microscopy S.H. Christiansen Complex nano-architectures of various material combinations (e.g. Si-nanostructures such as wires (NW) and cones (NC), GaN nanostructures, transparent conductive oxides e.g. Al doped ZnO, coinage metal nanoparticles e.g. wires, spheres, graphene and other 2D materials) integrated on Si wafer platforms will be presented for light absorption, light emission and sensing applications. In this context we will show nano-material choices e.g. for surface enhanced Raman spectroscopy (SERS) and chemically functionalized SiNWs, SiNCs, GaN NWs with distinct resonances for optical sensing or distinct electrical performance in e.g. electronic nose devices based on resistors or field-effect transistors. Materials and device optimization will rely on advanced correlated electron microscopy and optical spectroscopy (CORRMIC) including electron beam induced current (EBIC) measurements, I-V characterization with and without illumination (with tunable power and wavelength) inside a scanning electron microscope (SEM), cathodo-, photo- luminescence as well as in-SEM micro-Raman spectroscopy and time-of-flight mass spectrometry (TOF-MS).

U.4.1
 
Poster session : N/A
17:00
Authors : V. Pankov 1, A. Stognii 2,A. Pankova 1
Affiliations : 1. Belarussian State University, pr. Nezavisimosti 4, Minsk 220030, Belarus 2. Institute of Solid-State and Semiconductor Physics, Belarussian Academy of Sciences, str. Brovki 17, Minsk, 220072 Belarus

Resume : SrFe12O19 hexaferrite films have been synthetized on thermally oxidized single-crystal silicon (SiO2 / Si) substrates by sequential ion-beam sputtering of SrFeO3 and ?-Fe2O3 targets in the 65% argon + 35% oxygen atmosphere with the formation of multilayered heterostructures of the same compositions as targets . Heterostructure layers ranged in thickness from 100 to 300 nm. The thickness and sequence of the layers being deposited changed. The formation of SrFe12O19 phase as a result of following heterostructure annealing of these ( 800-900 0C ) was investigated. The crystal structure was studied by XRD, SEM, EDXA, AFM. Magnetic properties were studied in magnetic ?elds of up to 1590 kA/m using a vibrating sample magnetometer. The fraction of intermediate phases formed during annealing in some configurations of the initial heterostructures was determined based on the phase contrast in the SEM backscattering images. It was done using image analysis software developed specifically for this project. It was established that the formation of single-phase SrFe12O19 films during annealing occurs only for ?-Fe2O3 ? SrFeO3 multilayer configuration (the ?rst deposited layer is ?-Fe2O3). In this case the diffusion ?ows resulting from cation concentration gradients would occur predominantly within the multilayer films, without signi?cant diffusion to the SiO2 / Si substrate. So the growth of hexaferrite ?lms on oxidized silicon is not , accompanied by undesirable film? substrate interactions. It follows from our data that the magnetic properties of the ?lms strongly depend on the annealing temperature. They are highly anisotropic owing to the SrFe12O19 hexagonal structure. The C axis of SrFe12O19 was normal to the ?lm growth plane. The best properties were offered by the materials annealed at 900 0C . Higher coercive force (Hc =355 kA/m) and magnetization Ms were obtained in measurements with the magnetic ?eld applied perpendicular to the ?lm plane. When magnetic ?eld applied parallel to the film plane Hc is 170 kA/m.

U.P.1
17:00
Authors : Ryo Matsumura 1, Yunfan Wang 1 2, Wipakorn Jevasuwan 1, Naoki Fukata 1 2
Affiliations : 1 International Center for Materials Nanoarchitectonics(MANA), National Institute for Materials Science (NIMS); 2 Institute of Applied Physics, University of Tsukuba

Resume : Semiconductor nanowire on insulating substrates are attracting much attention to realize next generation thin film devices. Vapor-liquid-solid (VLS) method is well established way to grow Si and/or Ge nanowires on (111) oriented Si substrates [1]. To apply this method on insulating substrates, formation of polycrystalline Si(111) film with controlled crystal domain position is essential as a seeding layer. Aluminum induced crystallization method (AIC) has been studied by many groups, and highly (111) oriented polycrystalline Si films are realized on insulating substrates [2, 3]. However, position control of crystal domain has not realized yet. In this study, we tried to form single domain Si(111) film by limiting the growth region during AIC process. In the experiment, Al and amorphous-Si (a-Si) are firstly deposited on quartz, followed by lift-off process to pattern these layers into circular and rectangular shapes with various size. Then, the samples were annealed to induce AIC growth. Growth feature of annealed samples were investigated by Nomarski microscope, Raman spectroscopy, and electron backscatter diffraction (EBSD), which support AIC growth has successfully occurred. Here, by reducing the size of growth region below 100 um, we could clearly observe single domain growth of poly-Si film has occurred. More detail will be discussed on site. [1] R. S. Wagner, et al., APL 4, 89 (1964) [2] O. Nast, et al., APL 73, 3214 (1998) [3] M. Kurosawa, et al., APL 95, 132103 (2009)

U.P.2
17:00
Authors : Yong Tae Kim1, Sehyun Kwon1, 2, Youngmin Jhon3, and Jinho Ahn2
Affiliations : 1Semiconductor Materials & Devices Lab, Korea Institute of Science and Technology Seoul, Korea; 2Division of Materials Science and Engineering, Hanyang University, Seoul, Korea; 3Sensor Research Center, Korea Institute of Science and Technology, Seoul, Korea

Resume : 3 dimensional FinFET has been fabricated on 10nm SOI with P type (source)-Intrinsic (gate)-N type (drain) and the intrinsic gate is divided into partially gated and ungated channels. The total intrinsic gate length is varied from 50 to 10nm and the thickness of Fin height is 15nm. DC and transient memory characteristics are measured and simulated with the Silvaco ATLAS 3D tool. The subthreshold slope is nearly zero since the devices sharply turn on at 0.7 V and the on/off current ratio is 3 order of magnitude. Memory operation shows that writing ‘0’, reading ‘0’, writing ‘1’, and reading ‘1’ strongly depend on the injected carrier density through the potential barriers on the both junctions of drain/source. Retention time is about 100ms. We have studied the potential distribution and carrier concentration corresponding to read/write states of “0” and “1” along the gated and ungated channel and discussed the relationship with memory characteristics.

U.P.3
17:00
Authors : Michele Amato [1], Matteo Bertocchi [2], Ivan Marri [3], Stefano Ossicini [4]
Affiliations : [1] Laboratoire de Physique des Solides (LPS), Université Paris-Sud, Centre scientifique d?Orsay, F91405 Orsay cedex, France; [2] MDLsoft inc., Università di Modena e Reggio Emilia, Reggio Emilia, Italy; [3] CNR?Istituto di Nanoscienze?S3, , I?41125 Modena, Italy; [4] Dipartimento di Scienze e Metodi dell'Ingegneria, Universitá di Modena e Reggio Emilia, I?42122 Reggio Emilia, Italy

Resume : Accurate knowledge of the work function is an essential information in designing novel and efficient electronic devices [1]. This assumes particular importance in the case of complex alloyed materials, like SiGe based heterostructures, which are nowadays considered as building blocks for advanced electronic and optical systems [2-4]. A systematic theoretical study of the work function behavior for Si1-xGex heterostructures over the whole composition range, from Si (x = 0) to Ge (x = 1), is presented. Our results [5], obtained through Density Functional Theory calculations and in good agreement with experimental evidences [6], show that increasing the Ge content lowers the work function value. We find that in order to exactly reproduce this behavior in relation to the work function of pure Ge and Si systems and their concentrations, a deviation from the linear Vegard's rule is necessary. However, the calculated bowing parameter is very small, thus making the simple linear interpolation a valid approximation to obtain the work function of complex SiGe alloys. [1] M. Bertocchi, M. Amato, I. Marri, S. Ossicini, Phys. Status Solidi (c) 14, (2018) [2] M. Amato, M. Palummo, R. Rurali, and S. Ossicini, Chem. Rev. 114, 1371 (2013) [3] D. J. Paul, Thin Solid Films 321, 172 (1998) [4] P. Chaisakul, D. Marris-Morini, J. Frigerio, D. Chrastina, M.-S. Rouifed, S. Cecchi, P. Crozat, G. Isella, and L. Vivien, Nat. Photonics 8, 482 (2014) [5] M. Amato, M. Bertocchi and S. Ossicini, J. Appl. Phys., 119, 085705 (2016) [6] P. Hellberg, S. Zhang, and C. Petersson, IEEE, Electron Devic. Lett. 18, 456 (1997)

U.P.4
17:00
Authors : Chang Xu, Masanobu Miyao, Taizoh Sadoh
Affiliations : Kyushu University

Resume : High-speed thin-film transistors (TFTs) are required to develop the next generation of electronics, such as three-dimensional large-scale integrated circuits and advanced system-in-displays. For this purpose, high-carrier-mobility semiconductor film on insulator structures should be fabricated with low-temperature processing conditions (<500 °C). To achieve this, we investigate effects of introduction of thin a-Si under-layers on low-temperature (450°C, 20 h) solid-phase crystallization of Sn-doped Ge films (Sn concentration: 2 %, thickness: 50 nm) on insulators. Crystal structures of the grown layers were analyzed by electron backscattering diffraction. The results indicated that the grain sizes of the grown GeSn layers were decreased by introduction of a-Si under-layers (~5 nm). This phenomenon is attributed to change in dominant nucleation sites from interface to bulk. Interestingly, Hall effect measurements of the grown layers revealed that the carrier mobility was increased from ~140 to ~230 cm2/Vs by introduction of a-Si under-layers. Detailed analysis of the electrical properties clarified that grain-boundary scattering of carries was significantly decreased by introduction of a-Si under-layers. The mechanism will be discussed at the presentation.

U.P.5
17:00
Authors : Hongmiao Gao, Masanobu Miyao, Taizoh Sadoh
Affiliations : Kyushu University

Resume : Low temperature (<500℃) formation of Ge on insulator is useful to achieve next-generation large-scale integrated-circuits, where optical functions are merged. For this purpose, Al-induced and Au-induced layer-exchange crystallization have been developed. However, the Ge films formed by these techniques show p-type conduction, due to residual Al atoms and vacancy-related defects. For device application, n-type Ge is also required. In the present study, we examine a group V element (Sb) as a new catalyst to obtain n-type Ge on insulator. Firstly, we investigated annealing (450℃) of a-Ge/Sb stacked structures (a-Ge/Sb thickness: 100/100nm) on insulator. However, it resulted in incomplete layer exchange with thermal evaporation of Ge. To solve these problems, a-Ge/Sb thicknesses was modulated to 100/50nm and a-Ge thin layer (5nm) was introduced into initial Sb/insulator interface. This enabled a complete layer-exchange growth of Ge without evaporation after annealing at 450℃. N-type conduction of the grown Ge layer was confirmed by electro-motive force measurements. The details of the electrical properties will be discussed at the presentation.

U.P.6
17:00
Authors : Robert Mroczy?ski, Andrzej Mazurak
Affiliations : Institute of Microelectronics and Optoelectronics, Warsaw University of Technology, Koszykowa 75, 00-662 Warsaw, Poland

Resume : Silicon nanocrystals (Si-NCs) embedded in dielectric layers have drawn the significant attention for potential applications in the fields such as optoelectronics and photonics [1]. The literature reports that materials which are composed of alternating layers of Si-NCs and dielectric films exhibit an increased optical band-gap with decreasing NCs size [2]. However, silicon nanocrystals exhibit also potential applications in electronic devices and structures [3]. Our recent works demonstrated the successful incorporation of Si-NCs in Metal-Insulator-Semiconductor (MIS) structures based on hafnium oxide (HfOx) layer [4] and potential applications in memory structures [5]. In this study, we investigate the feasibility of the application of Si-NCs as active conductive material in Thin-Film Transistors (TFTs). For this purpose, the colloidal Si-NCs fabricated by means of chemical synthetic method [6] will be used. The all-inorganic Si-NCs have very heavily B and P doped shells, which induce negative potential on the surface, and prevent the agglomeration by electrostatic repulsions. Technological aspects of the fabrication of TFT test structures will be presented, among others: the design of transistor?s channel and lithography of silicon nanocrystals, gate dielectric fabrication and contact properties. Presented results will be discussed in terms of possible application of colloidal Si-NCs in semiconductor structures in TFTs for LCD/LED high-resolution and large-area displays, as well as mobile applications. References [1] L. Pavesi, et al., Nature 408 (2000). [2] A.M. Hartel, et al., Thin Solid-Films 520 (2011). [3] N. Henan, et al., J. of Semiconduct. 30 (11), (2009). [4] A. Mazurak, et al., Micr. Eng. 178 (2017). [5] A. Mazurak, et al., Phys. Stat. Sol. B 1700634 (2018). [6] H. Sugimoto, et al., J. of Phys. Chem. 11 (22), (2013).

U.P.7
17:00
Authors : Mirosław Puźniak (1,2), Wojciech Gajewski (2), Robert Mroczyński (1)
Affiliations : (1) Institute of Microelectronics and Optoelectronics, Warsaw University of Technology, Koszykowa 75, 00-662 Warsaw, Poland (2) TRUMPF Huettinger, Marecka 47, 05-220 Zielonka, Poland

Resume : The shrinking of characteristic dimensions of fundamental silicon devices is a great challenge in modern micro- and nanoelectronics. It is especially evident in the case of dielectric materials for the gate-stack of MOS/MIS structures, which are widely employed in semiconductor devices [1]. Since 2007, when new dielectric materials characterized by high permittivity values based on hafnium oxides (HfOx) were introduced commercially for the first time, the number of applications of such layers in semiconductor devices is constantly increasing. There are several possible application of high-k materials in semiconductor structures and devices, and there are still many important aspects have yet to be understood for hafnium oxide materials technology and processing [2]. In this work we examine changes of the properties of hafnium oxynitride (HfOxNy) thin films deposited by means of Pulsed-DC reactive magnetron sputtering process. The aim of this study is to analyze the dependencies between input (process’) and output (material) parameters and analyze possible trends in order to get material with tailored properties. The experimental runs were designed according to Taguchi’s orthogonal tables approach [3]. Possible changes in electrical parameters were investigated by means capacitance-voltage (C-V) and current-voltage (I-V) measurements of purposely fabricated Metal-Insulator-Semiconductor (MIS) capacitors with hafnium oxynitride as gate dielectric material, while changes in optical parameters, and chemical composition were examined by means of spectroscopic ellipsometry, transmittance and reflectance measurements. Moreover, the influence of Post-Metallization-Annealing (PMA) procedure (@300°C, vacuum atmosphere) onto electro-physical properties of fabricated MIS structures, was determined. References [1] G.D. Wilk, R.M. Wallace, M. Anthony, Journal of Applied Physics 89 (2001) 5243–5275. [2] J. Robertson, R.M. Wallace, Materials Science and Engineering: R: Reports 88 (2015) 1–41. [3] R. Mroczyński, R.B. Beck, ECS Transactions 25 (8) (2009) 797-804.

U.P.8
17:00
Authors : Taeho Kim*, Youngjae Kim, Jinyeol Lee, Ingwan Lee, Hyunchul Sohn*
Affiliations : Yonsei University;Yonsei University;Yonsei University;Yonsei University;Yonsei University

Resume : Chalcogenide material is a multi-component compound consisting of chalcogens element except for oxygen. II-VI chalcogenide compounds have a wide band gap. These II-VI chalcogenide compounds have attracted much attention because of potential application for various fields such as optoelectronic device, photovoltaic cells, and threshold switching (TS). Among them, the TS phenomenon has attracted much interest since the low off-current of chalcogenide materials for electronic devices. In our study, ZnTe thin films with Zn-rich and Te-rich chemical compositions near 1:1 stoichiometry by magnetron sputtering process at room temp. Effect of chemical composition and thermal annealing on ratio of Te and Zn in ZnTe thin films were investigated in terms of composition, chemical states, microstructure by XPS, TEM, XRD and Raman spectroscopy. Also, electrical properties of ZnTe were evaluated by I-V measurement. Similar to past research, as Te concentration increases, the total defect concentration was increased because a major defect of ZnTe thin film is valence alternation pairs, the concentration of which is related to the lone-pair electrons of a-Te. In the case of Te-rich ZnTe, it was confirmed that the current value of the off-state is substantially different from that of the near-stoichiometric ZnTe. We conclude that the performance of chalcogenide used for TS devices was strongly dependent on the amount of defect sites present between the conduction band and the valance band.

U.P.9
17:00
Authors : Dayoon Lee, Jiwoo Park, Yujin Chang, Hyunchul Sohn
Affiliations : Department of Materials Science & Engineering, Yonsei University; Department of Materials Science & Engineering, Yonsei University; Department of Materials Science & Engineering, Yonsei University; Department of Materials Science & Engineering, Yonsei University

Resume : Complementary metal-oxide-semiconductor (CMOS) field effect transistors (FETs) is scaling down to get high density integration and improve device performance but scaling down faces limit of subthreshold swing (SS). Tunnel Field effect transistors (TFETs) with SS less than 60mV/dec is promising candidates and smaller bandgap is important on TFETs operation because of tunneling mechanism. The epitaxial strained Si1-XGeX film can get smaller bandgap compared with Si or strain relaxation Si1-XGeX. The epitaxial strained Si1-XGeX film was deposited by Ultra High Vacuum-Chemical Vapor Deposition (UHV-CVD) using disilane(Si2H6) and germane(GeH4) on 575℃. With increasing the Ge composition in Si1-XGeX epitaxial film, surface roughness and growth rate increase. The surface roughness and dislocation as a function of Ge compositions was investigated by Atomic Force Microscope (AFM), transmission electron microscopy (TEM). The strain relaxation of Si1-XGeX depending on whether or not Si capping layer is investigated with Ge composition and post annealing temperature using X-ray diffraction (XRD). Rapid Thermal Annealing (RTA) is used for post annealing process. We conclude that epitaxial Si1-XGeX layer has more dislocation by strain relaxation increasing thermal annealing temperature and Ge composition. Our experimental results showed that trilayer (Si/Si1-XGeX/Si) structure improves thermal stability and strain relaxation compared to bilayer (Si1-XGeX/Si) structure.

U.P.10
17:00
Authors : Sławomir Prucnal, Andrzej Droździel, Marcin Turek, Krzysztof Pyszniak, Jerzy Żuk
Affiliations : Maria Curie-Skłodowska University, Pl. M. Curie-Skłodowskiej 1, 20-035 Lublin, Poland; Institute of Ion Beam Physics and Materials Research, Helmholtz-Zentrum Dresden-Rossendorf

Resume : Doping of Ge and GeSn via ion implantation and flash lamp annealing A. Drozdziel1, M. Turek1, K. Pyszniak1, S. Prucnal1,2 and J. Zuk1 1. Maria Curie-Skłodowska University, Pl. M. Curie-Skłodowskiej 1, 20-035 Lublin, Poland 2. Institute of Ion Beam Physics and Materials Research, Helmholtz-Zentrum Dresden-Rossendorf The n-type doping of Ge is realized by incorporation into the lattice the group V elements like P, As or Sb. Using conventional doping technique, maximum carrier concentration is in the range of 2-5×10^19 cm-3 and it is limited by solid solubility of dopants in Ge and point defects e.g. Ge vacancies. Obtaining electron concentrations in Ge and GeSn higher than 5×10^19 cm-3 is possible only using strongly nonequilibrium processing. Here we present the formation of highly doped n-type Ge and GeSn using ion implantation followed by millisecond range flash lamp annealing (FLA). The electrical properties of P, As and Sb doped Ge and GeSn and the carrier concentration is investigated using temperature dependent Hall Effect and FTIR. Rutherford backscattering spectrometry confirms full recrystallization of the implanted layer and the location of dopant in the substitutional position. The maximum carrier concentration is well above 10^20 cm-3 for P doped layers which converts Ge to quasi-direct band gap semiconductor. Acknowledgement: This work was partially supported by the National Science Centre, Poland, under Grant No. 2016/23/B/ST7/03451.

U.P.11
17:00
Authors : Afrina Khanam1, Jonatan Slotte1, Anurag Vohra2,3, Roger Loo2, Geoffrey Pourtois2,4, and Wilfried Vandervorst2,3
Affiliations : 1. Department of Applied Physics, Aalto University, P.O. Box 15100, FI-00076 Aalto, Finland 2. Imec vzw, kapeldreef 75, 3001 Leuven, Belgium 3. K.U. Leuven, Department of Physics, Celestijenlaan 200D, 3001 Leuven, Belgium 4. Department of Chemistry, Plasmant Research Group, University of Antwerp, B-2610 Wilrijk-Antwerp, Belgium 1Email: afrina.khanam@aalto.

Resume : Epitaxial Ge:As films doped with Arsenic are suitable for source-drain (S/D) stressors of n type FETs. S/D stressors boost the carrier mobility to improve the transistor performance. (V_x-As_y) level increases resistivity of the GeSn:As samples by passivating dopants along with a reduction of the lattice strain induced by As atoms. Vacancy complexes in narrow band gap semiconductors can be studied with Positron annihilation spectroscopy (PAS). The PAS technique is based on detecting the radiation created by annihilating positron-electron pairs. In Ge(As), the dopant complex responsible for positron trapping is according to Kujala et al. [1] most likely a vacancy multi-donor defect complex with at least 3 As atoms around the vacancy. In a study on highly As doped Ge, Kalliovaara et al. [2] observed a high concentration of vacancy-arsenic complexes larger in open volume than a monovacancy. The (V_x-As_y) complexes contribute substantially to the large inactive fraction of the donors. PAS technique in Doppler and coincidence Doppler mode will be utilized to study doped GeSn ([Sn]=6-6.7% , [As]=1×〖10〗^19 -1×〖10〗^21 cm^(-3)).The samples were CVD grown epilayers with thicknesses of 68-70 nm. In addition to experiments, electronic structure calculations for (V_x-As_y) clusters with DFT will be performed. References [1] Kujala et al., J. Phys: Condens. Matter 28(2016)335801(7pp). [2] Kalliovaara et al., Appl. Phys. Lett. 109, 182107 (2016).

U.P.12
17:00
Authors : C. Guillaume1, C. Frilay1, F. Lemarié1, C. Labbé1, G. Blas2, S. hernandez2, J.L. Frieiro Castro2, O. Blázquez Gómez2 and X. Portier1
Affiliations : [1] Normandie Université, ENSICAEN, UNICAEN, CEA, UMR CNRS 6252, CIMAP, 6 Boulevard du Maréchal Juin, 14050 Caen, France; [2] MIND-IN2UB, Departament d’Electrònica, Universitat de Barcelona, Martí i Franquès 1, E-08028, Barcelona, Spain.

Resume : ZnO is a well-known wide band-gap (3.3-3.4 eV) semiconductor. It is used in optoelectronic industry for liquid crystal displays, touch screens, optical sensors, as transparent conductive film for applications in light emitting diodes (electroluminescence) or also contacts with down or up conversion mechanism on photovoltaic panel. Our work is focused on the structural and optical properties of rare earth doped ZnO and more particularly on Ce, Tb and Tb-Eu codoping. The films (about 150 nm thick) were prepared by radiofrequency magnetron sputtering and consisted in ZnO films deposited on (100) p type Si substrate. The films were then annealed at various temperatures from 700°C up to 1200°C for 1h under a nitrogen flow. Conventional and high resolution transmission electron microscopy observations revealed a diffusion process of the rare earth toward the film/substrate interface for annealing temperatures below 800°C. For temperatures higher than 800°C, the annealing treatment lead to the appearance of silicate phases (Zn2SiO4 and/or ZnSiO3 phases) as well as a relatively high concentration of the dopants at the Si/RE:ZnO interface. High PL emissions from Ce, Tb and Tb-Eu were measured under UV light excitation for these latter. An attempt to explain the origin of the PL emissions will be presented. In addition, electroluminence data on doped films (about 50 nm thick) annealed at temperatures lower than 800°C will be reported.

U.P.13
17:00
Authors : Roger Loo, Yosuke Shimura, Shinichi Ike, Anurag Vohra, Toma Stoica, Daniela Stange, Dan Buca, David Kohen, Joe Margetis, John Tolle
Affiliations : Imec, Kapeldreef 75, 3001 Leuven, Belgium; K.U. Leuven, Dept. of Physics, Celestijnenlaan 200D, 3001 Leuven, Belgium; Graduate School of Engineering, Nagoya University, Nagoya 464-8603, Japan; Forschungszentrum Juelich, Peter Grunberg Inst 9, 52425 Julich, Germany; Forschungszentrum Juelich, JARA Fundamentals Future Informat Technol JARA FI, 52425 Julich, Germany; ASM, Kapeldreef 75, 3001 Leuven, Belgium; ASM America, 3440 East University Drive, Phoenix, Arizona 85034, USA

Resume : Ge1-xSnx and SiyGe1-x-ySnx are receiving a growing interest in the semiconductor community as the material properties are interesting for both electrical and optical device applications. From production point of view, monocrystalline Ge1-xSnx or SiyGe1-x-ySnx are preferentially grown by Chemical Vapor Deposition. The epitaxial growth needs to be done at low growth temperatures and at high growth rates to avoid issues like Sn precipitation and Sn agglomeration. Most literature describing the epitaxial growth are for Ge2H6 and SnCl4 as Ge and Sn precursor, respectively. A more recent publication described the epitaxial growth of high quality Ge1-xSnx with the more conventional GeH4. We will discuss the impact of growth pressure, growth temperature, the choice of the carrier gas (H2 or N2), and of the choice of the Ge precursor (GeH4 versus Ge2H6) on the material quality of epitaxially grown Ge1-xSnx. Epitaxial Ge1-xSnx with up to 13.5% Sn were grown on top of 4 inch Ge wafers or on Ge virtual substrates. The layers were grown in production compatible epi reactors (200 mm ASM EpsilonTM 2000 like and 300 mm ASM IntrepidTM). The best material quality in terms of surface morphology and photoluminescence characteristics is obtained if GeH4 is used as Ge precursor. With Ge2H6, pyramidical defects are seen on layers grown at atmospheric pressure. In addition, there is a higher risk for local Sn agglomerations leading to Sn droplets and/or a milky surface. The pyramidical defects are not observed for Ge1-xSnx grown at reduced pressure but the highest achievable substitutional Sn concentration is lower. No pyramidical defects are observed for Ge1-xSnx layers grown with GeH4 and the issue of uncontrolled local Sn agglomeration does not appear.

U.P.14
Start atSubject View AllNum.
 
Theory : Francesco Montalenti
09:00
Authors : Marco Salvalaglio
Affiliations : Institute of Scientific Computing, Technische Universität Dresden, 01062 Dresden, Germany

Resume : The continuum modeling of the growth and processing of thin-films is crucial to tailor material properties and predict the outcome of novel experiments accounting for realistic time and length scales. However, most of the theoretical approaches relying on continuum descriptions have important limitations when dealing with complex, three-dimensional (3D) evolutions and topological changes. The Phase-Field (PF) approach [1] naturally handles such a degree of complexity. In this presentation, the PF modeling of 3D crystalline structures and their morphological evolution is reviewed [2]. The extensions of the standard approach in order to account for detailed features of materials and processing such as surface faceting [3], flux-shielding effects during growth [4] and strain relaxation [5], are discussed. Special attention is devoted to show applications of the considered theoretical framework to understand and predict the results of technology-relevant processes. In particular, PF simulations are shown to enlighten the mechanisms at play during the annealing of patterned, single-crystal silicon films on insulator [6] and Ge/Si nano-strips [7]. References: [1] B. Li et al. Commun. Comput. Phys. 6, 433 (2009). [2] R. Bergamaschini et al., Adv. Phys. X 1, 331 (2016). [3] M. Salvalaglio et al. Cryst. Growth Des. 15 2787 (2015). [4] M. Salvalaglio et al. Phys. Rev. B 94 235432 (2016). [5] M. Albani et al. Phys. Rev. B 94, 075303 (2016) [6] M. Naffouti et al., Sci. Adv. 3 eaao1472 (2017). [7] M. Salvalaglio et al, Appl. Phys. Lett. (2018).

U.6.1
09:30
Authors : Vladimir Kaganer
Affiliations : Paul-Drude-Institut für Festkörperelektronik, Berlin, Germany

Resume : X-ray diffraction is a routine tool to reveal the mismatch and the degree of relaxation in heteroepitaxial systems. Only positions of x-ray diffraction peaks are needed for this purpose. The x-ray diffraction profiles are less commonly used but provide much more detailed information on the arrangement of the misfit dislocation arrays and other defects in relaxed epitaxial systems. The intention of the talk is to overview general theory of the x-ray diffraction from crystals with dislocations, analytical and numerical methods for analysis of the intensity distributions, and present various examples of detailed studies of the dislocation arrangements at different stages of relaxation of the silicon-based heterostructures by means of x-ray diffraction. The 60° and the edge (Lomer type) dislocations are distinguished at low dislocation densities by characteristic diffraction profiles and at large dislocation densities by comparing the FWHMs of different reflections. At the early stages of relaxation, the bunches of misfit dislocations result in a characteristic diffraction pattern. In the case of large dislocation densities at late stages of relaxation, the FWHMs of the diffraction profiles are notably smaller than expected for uncorrelated dislocations, which points out to their high positional correlations. Multilayers with different types of dislocations at different interfaces, for example the Ge-rich SiGe virtual substrates, can be characterized in detail.

U.6.2
10:00
Authors : F. Rovaris, M.H. Zoellner, P. Zaumseil, M.A. Schubert, L. Di Gaspare3, M. De Seta3, G. Capellini, T. Schroeder, P. Storck, M. Haeberlen, G. Schwalb, C. Richter, T.U. Schülli, A. Marzegalli, F. Montalenti
Affiliations : L-NESS and Dipartimento di Scienza dei Materiali, Università di Milano-Bicocca, Via R. Cozzi 55, 20125 Milano, Italy; IHP Institute, ImTechnologiepark 25, 15236 Frankfurt (Oder), Germany; Department of Sciences, Università Roma Tre, Viale G. Marconi, 446, 00146 Roma, Italy; Leibniz Institute for Crystal Growth, Max-Born-Str. 2, 12489 Berlin, Germany; Siltronic AG, Hans-seidel-Platz 4, 81737 München, Germany; European Synchrotron Radiation Facility, BP 220, 38043 Grenoble Cedex, France.

Resume : Cross-hatch patterns are observed at the free surface of several heteroepitaxial systems undergoing strain relaxation via dislocation injection. Despite being known since half a century, such patterns are still poorly understood. While known to be linked to the underlying dislocation array, different mechanism controlling their formation were proposed. More importantly, such patterns display some degree of periodicity but typical wavelengths cannot be explained by simple parameters such as the dislocation-dislocation average distance. In this work we present a synergic theoretical and experimental effort aimed at building a deeper understanding of cross-hatch pattern formation and evolution. By focusing our attention on low-misfit Ge0.06Si0.94 /Si(001) films, we extensively characterize the dislocation distribution by combining state-of-the-art characterization techniques and dedicated continuum simulations. By exploiting recent developments in fast-scanning X-rays microscopy, we indeed record detailed “tilting-angle maps”, as caused by the dislocation distribution in the film and in the substrate. Combining such results with a large-scale TEM analysis and with Monte Carlo simulations, we are able to infer position and Burgers vector of each individual dislocation spanning 40µm in a cross-cut of the sample. Using the continuum model of Ref., accounting for local strain-dependent surface diffusion, we then simulate the evolution of the film roughness with time.

U.6.3
10:15
Authors : Hannes Jonsson
Affiliations : University of Iceland

Resume : The formation of edge misfit dislocations (MDs) in a GeSi/Si(001) film is an important and long standing issue. The challenge is to find a mechanism accounting for the presence of these dislocations at the interface since they are not mobile and cannot nucleate at the surface and glide towards the interface. Experimental measurements can hardly detect the nucleation and early stages of growth because of the short time scale involved. Here we present the first semi-quantitative atomistic calculation of the formation of edge dislocations in such films. We use a global optimization method and density functional theory calculations, combined with computations using potential energy functions to identify the best mechanisms [1]. We show that mechanisms previously suggested are relevant only for a low film strain (i.e. for a Ge poor film) and we propose a new mechanism which accounts for the formation of edge dislocations at high film strain. There, a 60 deg. MD nucleates as a ``split'' half-loop with two branches gliding on different planes. One branch belongs to the glide plane of a complementary 60 deg. MD and therefore strongly favors the formation of the complementary MD which immediately reacts with the first MD to form an edge MD. The calculations are carried out using a stabilized version of the Nudged Elastic Band method. [1] E. Maras, L. Pizzagalli, T. Ala-Nissila and H. Jonsson, Sci. Rep. (7), 11966 (2017).

U.6.4
 
III/V materials : Clement Merckling
11:00
Authors : Ying Lu, Shujie Pan, Mengya Liao, Zizhuo Liu, Victoria Cao, Mingchu Tang, Jae-Seong Park, Jiang Wu, Siming Chen, Alwyn Seeds, and Huiyun Liu
Affiliations : Department of Electronic and Electrical Engineering, University College London, London WC1E 7JE UK

Resume : Quantum dots (QDs) – nanosized crystals – can not only offer low threshold current density but also provide reduced temperature sensitivity. In addition, QD structures have attracted increasing attention for the active element of III-V light emitting sources on Si substrate due to their enhanced tolerance to defects. First, we describe the first QD laser realized on Ge substrates. A long-wavelength InAs/GaAs QD structure was then fabricated on the high-quality GaAs buffer layer. Lasing at a wavelength of 1,305nm with a low threshold current density of 55.2A/cm2 was observed under continuous-wave current drive at room temperature. Based on techniques developed for growing III-V QD laser on Ge, we then demonstrated the first room temperature cw operation of III-V QD laser diodes monolithically grown on a Ge-on-Si substrate. Room temperature lasing at a wavelength of 1.28μm has been achieved with threshold current densities of 163A/cm2 and 64.3A/cm2 under cw and pulsed conditions for ridge-waveguide lasers with as cleaved facets, respectively. To bypass the need for the Ge buffer layer, we demonstrate cw InAs/GaAs QD lasers directly grown on Si substrates with a low threshold current density of 62.5A/cm2, a room-temperature output power exceeding 105mW and operation up to 120°C. Over 3,100h of continuous-wave operating data have been collected, giving an extrapolated mean time to failure of over 100,158h. More recently, we demonstrated the first silicon-based QD DFB laser array exhibited threshold currents as low as 12 mA, SMSRs as high as 50 dB, and a wavelength coverage of 100 nm with a precise channel spacing of 20±0.2 nm.

U.7.1
11:30
Authors : G. Patriarche 1, J.-C. Harmand 1, F. Glas 1, L.Travers 1, I. Florea 2, J.-L. Maurice 2
Affiliations : 1: Centre de Nanosciences et de Nanotechnologies (C2N), CNRS - Université Paris Sud, Université Paris Saclay, route de Nozay, 91460 Marcoussis, France; 2:LPICM, Ecole Polytechnique, Université Paris Saclay, 91128 Palaiseau Cedex, France

Resume : Molecular beams of Ga and As4 are implemented in an aberration-corrected transmission electron microscope. GaAs nanowires are grown in situ from Au catalyst particles. Real-time observation gives access to their morphological and structural parameters while growing and the formation of atomic planes at the catalyst-nanowire interface can be examined. We use various conditions which can result in solid or liquid catalyst particle. Surprisingly, the two cases lead to comparable growth rates (about 0.3 ml/s). For liquid catalyst, the contact angle of the droplet evolves rapidly with the V/III vapor flux ratio. At contact angles around 120°, the atomic plane stacking switches from hexagonal to cubic, with a transition region of mixed crystal phases. In agreement with recently reported results, but using a different growth technique and higher growth rates, we observe that the formation mechanisms of the two crystal phases differ singularly. Namely, hexagonal monolayers grow by slow and continuous step flow on a flat nanowire top facet; cubic monolayers appear incrementally and concomitantly with a truncation of the nanowire top facet. At low temperature, lateral growth on the sidewall facets is observed. It proceeds by step flow of one or more lateral monolayers along the nanowire axis direction. The steps are momentarily stopped and can accumulate at stacking faults present in the nanowire core. The underlying mechanisms will be discussed.

U.7.2
12:00
Authors : Mani Azadmand, Sergio Bietti, Daniel Chrastina, Emiliano Bonera, Maurizio Acciarri, Alexey Fedorov, Shiro Tsukamoto, Richard Nötzel,Stefano Sanguinetti
Affiliations : L-NESS and Dipartimento di Scienza dei Materiali, Università di Milano-Bicocca, Milano (Italy); L-NESS and Dipartimento di Fisica, Politecnico di Milano, Como (Italy); L-NESS and IFN–CNR, Milano, (Italy); South China Normal University, Guangzhou (China)

Resume : We report the heteroepitaxial growth at low temperatures (T = 450°C) of superflat In0.5Ga0.5N single crystalline thin film on Si (111) substrates using Plasma Assisted Molecular Beam Epitaxy. The influence of III/V ratio on the morphology and composition of grown layers was investigated. As expected, from previous growth studies performed at higher temperatures, the growth of In0.5Ga0.5N grown in N-rich conditions produces a very rough surface while in Metal-rich conditions a very smooth surface (RMS roughness ~1 ML) is observed. However, on metal rich side we observe the formation of metal droplets which strongly affect the growth dynamics of the InGaN epilayer. The droplets inhibit the adatom incorporation dynamics, thus making the growth rate a decreasing function of the metal flux impinging on the surface as soon as the metal dose exceeds the critical amount required for the nucleation of droplets. We explain this phenomenon via a rate equation model that considers droplet effects on the adatom incorporation dynamics and the relevant role played by the vapor-liquid-solid (VLS) growth mode that takes place under the droplets under Nitrogen flux. We also find an inverse relation between the surface coverage by metal droplets and Indium content in the grown epilayer. This effect can also be attributed to the leading role, in the metal rich conditions, of the VLS growth mode. There is therefore a very narrow window, just at the transition between Nitrogen and metal rich conditions, where is possible, avoiding the formation of droplets while still growing in metal rich conditions, to obtain high quality, composition controlled, and superflat In0.5Ga0.5N single crystal on Silicon substrates.

U.7.3
12:15
Authors : M.-L. Touraton, M. Martin, J. Moeyaert, V. Loup, S. David, B. Ben Bakir, C. Licitra, F. Boeuf, C. Jany, D. Dutartre, T. Baron Contact: marie-leonor.touraton@st.com
Affiliations : M.-L. Touraton 1, 2, 3; M. Martin 2; J. Moeyaert 2; V. Loup 3; S. David 2; B. Ben Bakir 3; C. Licitra 3; F. Boeuf 1; C. Jany 3; D. Dutartre 1; T. Baron 2 1 STMicroelectronics, 850 rue Jean Monnet, F-38926 Crolles Cedex, France 2 Univ. Grenoble Alpes; CNRS, CEA/LETI Minatec, LTM, F-38054 Grenoble Cedex. 3 Univ. Grenoble Alpes, CEA, LETI, Minatec Campus F-38054 Grenoble Cedex, France

Resume : III-V semiconductors materials are well known for their direct bandgap allowing light emission in a widely tunable spectral range, going from UV to IR which is most attractive for optical applications. However, they exhibit a large lattice mismatch and a highly contrasting thermal expansion coefficient and a polarity difference with silicon. This makes integration on silicon difficult regarding not having too many defects in the layers. The methods usually demonstrated rely on extremely thick buffers superior in size to 2µm. Here we demonstrate on 300mm standard silicon wafers the feasibility of thin buffers on top of which a thin diode structure is grown, the total thickness being slimmer than 2µm. The diodes are demonstrated for 650nm, 970nm and 1.55µm emissions on nominal silicon and GaAs wafers. They exhibit similar electrical characteristics on both hetero and homoepitaxy. For 2x3mm2 diodes one observes threshold currents of the order of 0.5V and leakage currents of 10-9A with light emission starting at 30mA. The structure of these diodes is also integrated in patterned 300mm SOI wafers using the aspect ratio trapping technique and compared to the blanket diodes in terms of pholuminescence measurements. This demonstration provides indications pointing to the feasibility of a low cost CMOS-compatible platform for III-V material integration for optical applications. ACKNOWLEDGMENTS: This work was supported by the French government managed by ANR under the Investissements d’avenir economic stimulus package, with reference IRT Nanoelec ANR-10-IRT-05 and ANR-15-IDEX-02

U.7.4
 
Joint session S + U 1: Advanced quantum applications : B. Urbaszek
14:00
Authors : Philippe Caroff
Affiliations : Microsoft Station Q Delft

Resume : Majorana bound states have been shown to be good candidate for the development of a topological quantum computer. These quasiparticles can be engineered in a hybrid system consisting of a high spin coupling III-V nanomaterial interfaced with a s-wave superconductor, such as Al. On the materials side, most progress in the field has relied until now on high quality vapor liquid solid growth of free-standing III-V nanowires functionalized at a later stage by ex-situ deposited Al. Advanced proposed device designs require a more scalable approach enabling easy formation of complex connected wire networks. Here I will report on the progress of our team in using selective area epitaxy in a molecular beam epitaxy (MBE) reactor to grow both III-V semiconductor nanowire networks and a high quality epitaxial s-wave metal superconductor. First, the general physics context and current state of the art will be introduced, with highlight of the challenges and advantages of the selective area epitaxy/MBE approach with respect to other growth techniques, geometries and growth mechanisms. Then we will show how to map the selectivity window enabling successful growth of the III-V semiconductor nanowires. Then, fundamental knowledge grounded in growth understanding and crystallography will be applied to obtain reproducible, high yield advanced high spin-orbit III-V nanowire/superconductor networks. The materials properties and quality are characterized by scanning and transmission electr

U.SU.1.1
14:00
Authors : Philippe Caroff
Affiliations : Microsoft Station Q Delft

Resume : Majorana bound states have been shown to be good candidate for the development of a topological quantum computer. These quasiparticles can be engineered in a hybrid system consisting of a high spin coupling III-V nanomaterial interfaced with a s-wave superconductor, such as Al. On the materials side, most progress in the field has relied until now on high quality vapor liquid solid growth of free-standing III-V nanowires functionalized at a later stage by ex-situ deposited Al. Advanced proposed device designs require a more scalable approach enabling easy formation of complex connected wire networks. Here I will report on the progress of our team in using selective area epitaxy in a molecular beam epitaxy (MBE) reactor to grow both III-V semiconductor nanowire networks and a high quality epitaxial s-wave metal superconductor. First, the general physics context and current state of the art will be introduced, with highlight of the challenges and advantages of the selective area epitaxy/MBE approach with respect to other growth techniques, geometries and growth mechanisms. Then we will show how to map the selectivity window enabling successful growth of the III-V semiconductor nanowires. Then, fundamental knowledge grounded in growth understanding and crystallography will be applied to obtain reproducible, high yield advanced high spin-orbit III-V nanowire/superconductor networks. The materials properties and quality are characterized by scanning and transmission electr

U.SU.1.1
14:30
Authors : Junsaku Nitta
Affiliations : Department of Materials Science and Center for Spintronics Research Network, Tohoku University

Resume : Intriguing phenomena such as tunable ferromagnetism [1] and topological transition [2] have been theoretically predicted in III-VI layered semiconductors. However, experimental studies on spin related transport is very limited in these systems. Magnetoconductance (MC) at low temperature was measured to investigate spin-related transport affected by spin-orbit interaction (SOI) in III-VI n-type layered GaSe films. Results reveal that MC shows weak antilocalization (WAL). Its temperature and gate voltage dependences reveal that the dominant spin relaxation is governed by the D?yakonov-Perel? mechanism associated with the Rashba SOI. The estimated Rashba SOI strength in GaSe is much stronger than that of III-V compound GaAs quantum wells, although the energy gap and spin split-off band in GaSe closely resemble those in GaAs. The angle dependence of WAL amplitude in the in-plane magnetic field direction is almost isotropic. This isotropy indicates that the strength of the Dresselhaus SOI is negligible compared with the Rashba SOI strength. The SOI effect in n-GaSe thin films differs greatly from those of III-V compound semiconductors [3]. [1] T. Cao, Z. Li, and S. Louie, Phys. Rev. Lett. 114, 23602 (2015). [2] Z. Zhu, Y. Cheng, and U. Schwingenschloegl, Phys. Rev. Lett. 108,266805 (2012). [3] S. Takasuna, J. Shogai, M. Kohda, Y. Oyama, and J. Nitta, Phys. Rev. B 96, 161303 (R) (2017).

U.SU.1.2
15:00
Authors : C. Vergnaud1, M.-T. Dau1, A. Marty1, C. Beigné, C.Alvarez1, H. Okuno1, S. Gambarelli1, J.-F. Jacquot1, M. Jamet1
Affiliations : 1 INAC, CEA and Université Grenoble Alpes, F-38000 Grenoble, France

Resume : Top-down exfoliation from bulk transition metal dichalcogenides like MoS2 usually leads to micron-sized flakes. We have recently developed an alternative growth method of two-dimensional transition metal diselenides (TMDS) from multilayers down to a single layer based on the Van der Waals (VdW) epitaxy. In the VdW epitaxy, the TMDS is grown either on a passivated surface with a very low density of dangling bonds (it is then called quasi-VdW epitaxy) or on a layered VdW substrate. The basic concept of this growth method relies on the very weak interaction between the epilayer and the substrate in order to largely release the constraint of lattice matching. Therefore it leads to the formation of fully relaxed TMDS layers. Moreover, this technique allows for the growth of uniform layers over centimeter scale surfaces making it compatible with the development of a large scale 2D electronics based on these materials. In this presentation, we will present our recent results on three different topics relying on the VdW epitaxy: (i) the epitaxy of multi and monolayers of WSe2 on a mica substrate either non-intentionally doped, p-type doped (with Nb) or magnetically doped (with Mn), (ii) the full characterization of the layers using Raman and photoemission spectroscopies, x-ray diffraction and SQUID magnetometry and (iii) their transfer onto a SiO2/Si substrate to study magnetotransport properties. In particular, we find a ferromagnetic order in Mn-doped WSe2 layers at low temperature adding a new member to the recently discovered 2D ferromagnets family [1,2,3]. References: [1] C. Gong et al., Nature 546, 265 (2017). [2] B. Huang et al., Nature 546, 270 (2017). [3] M. Bonilla et al., Nature Nanotech. 13, 289 (2018).

U.SU.1.3
15:15
Authors : C. Zucchetti,1;2 M.-T. Dau,2 F. Bottegoni,1 C. Vergnaud,2 T. Guillet,2 A. Marty,2 C. Beigné,2 S. Gambarelli,3 A. Picone,1 A. Calloni,1 G. Bussetti,1 A. Brambilla,1 L. Duò,1 F. Ciccacci,1 P. K. Das,4 J. Fujii,4 I. Vobornik,4 M. Finazzi,1 M. Jamet2
Affiliations : 1. LNESS-Dipartimento di Fisica, Politecnico di Milano, Piazza Leonardo da Vinci 32, 20133 Milano, Italy. 2. Univ. Grenoble Alpes, CEA, CNRS, Grenoble INP (Institute of Engineering Univ. Grenoble Alpes), INAC-Spintec, 38000 Grenoble, France. 3. Univ. Grenoble Alpes, CEA, INAC-SYMMES, 38000 Grenoble, France. 4. CNR-IOM Laboratorio TASC, 34149 Trieste, Italy

Resume : Spin-charge interconversion (SCI) phenomena have attracted a large interest in nowadays spintronics. Here, we investigate SCI in ultrathin Bi films epitaxially grown on Ge(111) as a function of the Bi thickness t. We use x-ray diffraction and scanning tunneling microscopy to obtain a clear picture of the morphology and crystallography of the system. Through spin- and angle-resolved photoemission we show that spin-polarized surface states crossing the Fermi level are present. Then, we directly probe the charge-to-spin conversion by detecting with magneto-optical Kerr effect the electrically-induced spin accumulation in Bi, and the spin-to-charge conversion by generating a spin current in the system with either optical or ferromagnetic resonance driven spin injection. We recover large SCI signals in the thickness range between 1 and 3 nm, characterized by the presence of small Bi nanocrystals, whereas the conversion efficiency drastically decreases as t increases, when the Bi islands start to percolate. Since bulk SCI is small, the conversion is mainly related to the Rashba-Edelstein effect associated with electron transport in the spin-polarized surface states. We explain the observed thickness dependence of SCI by reminding that the Bi conductivity can be strongly affected by quantum confinement effects. In the high confinement conditions realized in the Bi nanoislands obtained between 1 and 3 nm, the bulk resistivity is high enough to electrically disentangle the upper and lower Bi surfaces, which otherwise would give rise to opposite contributions to SCI conversion that tends to cancel out. Our results indicate that quantum size effects might be exploited as a tool to tune SCI and investigate a very rich spin-physics.

U.SU.1.4
 
Joint session S & U 2: Spin properties of group IV materials : S. Dash
16:00
Authors : Kohei Hamaya
Affiliations : Department of System Innovation, Graduate School of Engineering Science, Osaka University & Center for Spintronics Research Network (CSRN), Graduate School of Engineering Science, Osaka University

Resume : We show room-temperature spin transport in both n-type and p-type germanium (Ge). First, we detect pure spin current transport in n-Ge using four-terminal nonlocal magnetoresistance measurements in lateral spin-valve (LSV) devices with Heusler-alloy/Ge Schottky-tunnel contacts [1-3], where the values of the resistance area product (RA) are less than 0.5 k?µm2. Next, using the same LSV devices, we demonstrate the detection of two-terminal local spin transport up to room temperature. Finally, we introduce methods for detecting spin transport even for p-Ge by using vertically stacked Ge/Heusler-alloy structures with Schottky-tunnel contacts [4,5]. K.H appreciates good collaborative research with Prof. K. Sawano, Prof. V. Lazarov, and the colleagues of our group in Osaka University. This work was partially supported by JSPS/MEXT KAKENHI (No. 16H02333, No. 17H06120, No. 17H06832, and No. 26103003). [1] Y. Fujita et al., Phys. Rev. Appl. 8, 014007 (2017). [2] M. Yamada et al., Phys. Rev. B 95, 161304(R) (2017). [3] M. Yamada et al., Appl. Phys. Exp. 10, 093001 (2017). [4] M. Kawano et al., Appl. Phys. Lett. 109, 022406 (2016). [5] M. Kawano et al., Phys. Rev. Mater. 1, 034604 (2017).

U.SU.2.1
16:30
Authors : A. Spiesser [1], H. Saito [1], Y. Fujita [1,2], S. Yamada [2,3], K. Hamaya [2,3], W. Mizubayashi [4], K. Endo [4], S. Yuasa [1] and R. Jansen [1]
Affiliations : [1] National Institute of Advanced Industrial Science and Technology (AIST), Spintronics Research Center, Tsukuba, Japan [2] Department of Systems Innovation, Graduate School of Engineering Science, Osaka University, Toyonaka, Japan [3] Center for Spintronics Research Network, Graduate School of Engineering Science, Osaka University, Toyonaka, Japan [4] National Institute of Advanced Industrial Science and Technology (AIST), Nanoelectronics Research Institute, Tsukuba, Japan

Resume : The maturity of Si-based technology provides compelling motivation to integrate the spin functionality in novel Si-based devices. Recently, we demonstrated the creation of a giant spin accumulation in Si up to room temperature in nonlocal (NL) devices with Fe/MgO tunnel contacts [1]. In the latter study, spin transport was studied in the low bias regime, where it can be described as purely diffusive using the standard theory for spin injection and diffusion. In the high bias regime, however, the presence of an electric field (E) in the Si channel causes spin drift that can strongly affect spin transport and spin accumulation voltages in Si [2,3]. Here, we study the spin transport in NL devices with a heavily-doped n-type Si channel in the presence of E in the high bias regime and quantify the effect of spin drift on the NL spin signals. The epitaxial Fe/MgO magnetic tunnel contacts were deposited by molecular beam epitaxy on a SOI substrate having a 70 nm-thick n-type Si(001) channel with a phosphorous concentration of 2.4 × 10^19 cm-3. The NL structures consist of two central ferromagnetic electrodes (FM1 and FM2) separated by a spacing d and two outer non-magnetic Au/Ti reference contacts. To study the spin-drift effect in the NL geometry, we use two different measurement configurations called NL1 and NL2, in which the direction of the electric field E is reversed, while the detector remains unbiased. To vary the strength of the electric field, we vary the current in the Si channel by either changing the bias across the injector, or by keeping the tunnel current density constant and changing the size of the injector tunnel contact. We first measure the NL spin-valve and Hanle signals in the low bias regime and extract a spin lifetime of 15 ns, a spin-diffusion length of 1.7 m, and a tunnel spin polarization of Fe/MgO of 42 % at 60 K. We then perform NL spin-valve measurements using both NL1 and NL2 schemes and different injector sizes at various biases. We demonstrate a clear modulation of the spin signal and the spin-transport length as a function of E. Using the spin-drift-diffusion model, we establish a simple and reliable method to accurately quantify spin drift and obtain the relevant spin-transport parameters as a function of the electric field or the bias current. Notably, we are able to enhance the spin-transport length by a factor of 3 and the magnitude of the spin signal up to 250 % at 60 K with a moderate electric field under the nonlocal detector of ~ -300 V/cm for spin injection condition. These findings show that spin drift is beneficial for spintronic purposes as it provides enhanced spin-transport length compared to the spin-diffusive regime. References: [1] A. Spiesser et al., Phys. Rev. Appl. 8, 064023 (2017), [2] Z.G. Yu and M. E. Flatte, Phys. Rev. B 66, 235302 (2002), [3] M. Kameno et al., Appl. Phys. Lett. 104, 092409 (2014).

U.SU.2.2
16:45
Authors : Takahiro Naito1*, Michihiro Yamada1, Makoto Tsukahara1, Kentarou Sawano2, and Kohei Hamaya1,3
Affiliations : 1 Department of Systems Innovation, Graduate School of Engineering Science, Osaka University, Toyonaka, Osaka 560-8531, Japan; 2 Advanced Research Laboratories, Tokyo City University, Setagaya, Tokyo 158-0082, Japan; 3 Center for Spintronics Research Network, Graduate School of Engineering Science, Osaka University, Toyonaka, Osaka 560-8531, Japan

Resume : SiGe alloys have been utilized in the field of Si-CMOS technologies. However, there is almost no information on spin transport properties in SiGe alloys. In this study, we demonstrate reliable spin transport (i.e., pure spin current transport) in SiGe-based lateral spin-valve (LSV) devices with Schottky-tunnel contacts. By using molecular beam epitaxy (MBE), an n-Si0.1Ge0.9 spin-transport layer (n ~ 5x10^18 cm^-3) was grown on a Ge/Si(111) virtual substrate. Then, we grew a Co2FeAl0.5Si0.5 (CFAS) ferromagnetic layer on the Si0.1Ge0.9 layer as a spin injector and detector. Finally, we fabricated LSV devices with n-Si0.1Ge0.9 spin-transport channel. Using four-terminal nonlocal measurements, we observed evident nonlocal magnetoresistance (?RNL) curves and Hanle-effect curves at 50 K, indicating reliable pure spin current transport in a SiGe alloy. We also obtained a spin diffusion length (?SiGe) of ~ 0.5 µm at 50 K by analyzing d dependence of ?RNL and Hanle-effect curves [1]. Because of the Ge-rich SiGe alloy (Si0.1Ge0.9), the value of ?SiGe was consistent with that of pure Ge at low temperatures [2]. We also observed two-terminal magnetoresistance in the SiGe-based LSV devices for the first time. This work was partially supported by JSPS/MEXT KAKENHI (No. 16H02333, No. 17H06120, No. 17H06832, and No. 26103003). [1] T. Naito et al., Appl. Phys. Express 11, 053006 (2018). [2] M. Yamada et al., Phys. Rev. B 95, 161304(R) (2017).

U.SU.2.3
17:00
Authors : Alberto Debernardi
Affiliations : CNR-IMM, sede Agrate Brianza, Italy

Resume : The rising interest of solid state community toward two-dimensional (2D) materials is driven by the capability of ab initio simulations to predict structural and electronic properties of these mono-layered compounds which have potential application in new 2D electronic devices ranging from molecular sensors to ultimate-scaled spintronic junctions. On the basis of first principles calculations we proposed and investigated a novel 2D material with honeycomb structure composed of SiGe random alloy with different Ge concentration, focusing our study on the structural, elastic, electronic and magnetic properties. We found that both with and without H passivation of dangling bonds, the lattice parameter of 2D-SiGe random alloy varies according to Vegard's law as a function of the concentration, making this material suitable as "seed ribbon" (i.e. the 2D analogous of conventional 3D substrates) in 2D lateral growth, therefore allowing the tuning of electronic properties of silicene and germanene (or of their H-passivized counterpart) in lateral 2D heterostructures, recently proposed in Ref.[1]. In particular, for partial H passivation, we found that this compound presents half-metallic properties with fully spin polarization at the Fermi energy, thus making this new 2D material a promising candidate for spin injection in 2D junctions and heterostructures. Further, for its tunable lattice and electronic properties, the H-passivized 2D-SiGe random alloy provides a excellent template also as 2D substrate for "more traditional" vertical 2D heterostructures, paving the way toward a ultra-scaled 2D electronics. [1] A. Debernardi, L. Marchetti, Ab initio simulations of pseudomorphic silicene and germanene bidimensional heterostructures, Phys. Rev. B., 93, 245426 (2016).

U.SU.2.4
17:15
Authors : Michele Amato [1], Thanayut Kaewmaraya [2], Laetitia Vincent [3], Alberto Zobelli [1], Maurizia Palummo [4], Riccardo Rurali [5]
Affiliations : [1] Laboratoire de Physique des Solides (LPS), Université Paris-Sud, Centre scientifique d’Orsay, F91405 Orsay cedex, France; [2] Khon Kaen University, Khon Kaen 40002, Thailand; [3] Centre de Nanosciences et de Nanotechnologies (C2N), CNRS, Univ. Paris-Sud, Université Paris-Saclay, 91405 Orsay cedex, France; [4] Università di Roma Tor Vergata, Via della Ricerca Scientifica 1, 00133 Roma, Italy; [5] Institut de Ciència de Materials de Barcelona (ICMAB−CSIC), Campus de Bellaterra, 08193 Bellaterra, Barcelona, Spain

Resume : Recent experimental investigations have confirmed the possibility to synthesize and exploit polytypism in group IV nanowires. Indeed, while the crystal structure of Si and Ge nanowires (NWs) at standard conditions usually takes a well-defined cubic-diamond phase (as for their bulk counterparts), in the last few years several experimental observations of NWs exhibiting other phases - i.e. the hexagonal-diamond one - have been reported [1-2]. Driven by this promising evidence, here I will discuss recent first-principles calculations of the electronic and optical properties of hexagonal-diamond and cubic-diamond Si and Ge NWs as well as their homojunctions [3-4]. I will outline how a change in the crystal phase can strongly modify the electronic structure and optical response of the NW inducing novel and fascinating properties. Furthermore, I will show that, in the case of homojunctions, playing on crystal phase, size and length of the junction is an efficient tool to modulate band offsets and electron-hole separations. [1] S. Assali et al., Nano Lett. 15, 8062-8069 (2015) [2] J. Tang et al., Nanoscale, 9, 8113-8118 (2017) [3] M. Amato et al., Nano Lett. 16, 5694-5700 (2016) [4] T. Kaewmaraya et al., J. Phys. Chem. C 121, 5820-5828 (2017)

U.SU.2.5
17:15
Authors : Michele Amato [1], Thanayut Kaewmaraya [2], Laetitia Vincent [3], Alberto Zobelli [1], Maurizia Palummo [4], Riccardo Rurali [5]
Affiliations : [1] Laboratoire de Physique des Solides (LPS), Université Paris-Sud, Centre scientifique d’Orsay, F91405 Orsay cedex, France; [2] Khon Kaen University, Khon Kaen 40002, Thailand; [3] Centre de Nanosciences et de Nanotechnologies (C2N), CNRS, Univ. Paris-Sud, Université Paris-Saclay, 91405 Orsay cedex, France; [4] Università di Roma Tor Vergata, Via della Ricerca Scientifica 1, 00133 Roma, Italy; [5] Institut de Ciència de Materials de Barcelona (ICMAB−CSIC), Campus de Bellaterra, 08193 Bellaterra, Barcelona, Spain

Resume : Recent experimental investigations have confirmed the possibility to synthesize and exploit polytypism in group IV nanowires. Indeed, while the crystal structure of Si and Ge nanowires (NWs) at standard conditions usually takes a well-defined cubic-diamond phase (as for their bulk counterparts), in the last few years several experimental observations of NWs exhibiting other phases - i.e. the hexagonal-diamond one - have been reported [1-2]. Driven by this promising evidence, here I will discuss recent first-principles calculations of the electronic and optical properties of hexagonal-diamond and cubic-diamond Si and Ge NWs as well as their homojunctions [3-4]. I will outline how a change in the crystal phase can strongly modify the electronic structure and optical response of the NW inducing novel and fascinating properties. Furthermore, I will show that, in the case of homojunctions, playing on crystal phase, size and length of the junction is an efficient tool to modulate band offsets and electron-hole separations. [1] S. Assali et al., Nano Lett. 15, 8062-8069 (2015) [2] J. Tang et al., Nanoscale, 9, 8113-8118 (2017) [3] M. Amato et al., Nano Lett. 16, 5694-5700 (2016) [4] T. Kaewmaraya et al., J. Phys. Chem. C 121, 5820-5828 (2017)

U.SU.2.5
Start atSubject View AllNum.
 
Ge+Sn : Giovanni Isella
14:00
Authors : P. Ščajev1, K. Nomeika1, Ž. Podlipskas1, L. Subačius1, P. Onufrijevs2, A. Medvids2, L. Grase2, M. Andrulevicius3, A. Selskis4
Affiliations : 1Institute of Technical Physics, Faculty of Materials Science and Applied Chemistry, Riga Technical University, P. Valdena 3/7, Riga, LV-1048, Latvia 2Institute of Photonics and Nanotechnology, Vilnius University, Sauletekio al. 3, LT 10257, Vilnius, Lithuania 3Institute of Materials Science, Kaunas University of Technology, Barsausko str. 59, LT-50131, Kaunas, Lithuania 4Center for Physical Sciences and Technology (FTMC), Vilnius, Lithuania

Resume : For extension of silicon photo detection range to mid-infrared region, several materials are proposed. Among them, the group IV alloy of germanium-tin material has shown promising characteristics extending the detection range to long wavelengths. Therefore we selected for study 400-nm thick Ge0.96Sn0.04 layers on silicon. The main material parameters important for photo-detectors are carrier lifetime, diffusion coefficient and diffusion length. We used contactless optical pump-probe techniques as differential transmittivity, differential reflectivity and light induced transient grating for that parameters determination. The determined free carrier lifetimes by differential transmittivity were in 20-30 ns range and weakly depended on excitation, whereas differential reflectivity decays provided fast 0.5 ns decays attributed to Auger and surface recombination with ~ 1500 cm/s velocity. The layer irradiation by 1064 nm laser for Sn concentration redistribution due to thermogradient effect as verified by XPS, Raman and reflectivity measurements did not induce appreciable changes of the recombination parameters. The determined diffusion length in range of few um proves GeSn material being suitable for effective infrared photodetectors. We acknowledge E. Kasper, K. Lyutovich from University of Stuttgart for provision of the samples. The work was supported as part of the Program on Mutual Funds for Scientific Cooperation of Lithuania and Latvia with Taiwan, project No. P-LLT18-6.

U.10.1
14:15
Authors : Clement Porret, Anurag Vohra, Farid Sebaai1, Bastien Douhard, Andriy Hikavyy, Roger Loo
Affiliations : Imec, Kapeldreef 75, B-3001 Leuven, Belgium; K.U. Leuven, Dept. of Physics, Celestijnenlaan 200D, 3001 Leuven, Belgium

Resume : In the GAA geometry, horizontally-stacked Si or Ge nanowire or nanosheet channels are formed by selective etching of sacrificial SiGe layers epitaxially grown in SiGe/Si or SiGe/Ge multi-stacks, respectively. Owing to very aggressive target dimensions (e.g. sub-10 nm nanowire channel diameter), high etch selectivity and excellent process controls are mandatory. This sets stringent requirements on the epitaxial stacks (thicknesses and composition control, sharpness of interfaces) and on the etch process itself (high selectivity, limited channel material consumption). While processes for the selective etch of SiGe versus Si are available, the release of Ge channels remains more challenging. This step is usually done in advanced wet chemistries and is sensitive to both strain in and oxidation of Ge. For this reason, it requires a great precision in its adjustment, with the risk of experiencing process variabilities and yield issues. Furthermore, released channels should immediately be passivated to avoid Ge oxidation, which can cause severe material loss in the subsequent gate fabrication schemes. We propose an alternative for the preparation of suspended Ge horizontal nanowires. Our proposal relies on the combination of epitaxially grown GeSn/Ge stacks and a low-temperature vapor-phase GeSn etch process using Cl2. After an initial process screening on blanket layers, we demonstrate on patterned test structures that Cl2 etching enables high etch selectivity of n-GeSn towards Ge with high etch rates and throughput. In addition, the process to reveal the nanowires can be combined with an in situ passivation of newly exposed Ge surfaces, which provides perspectives for improved reliability and dimensions control.

U.10.2
14:30
Authors : Kenta Moto, Takashi Suemasu, Kaoru Toko
Affiliations : University of Tsukuba

Resume : To improve the performance of electronic and optical devices, extensive research efforts have recently focused on the effect of incorporating Sn into Ge. In the present work, we investigate how Sn composition x (0 ≤ x ≤ 0.12), film thickness t (50 ≤ t ≤ 300 nm), and deposition temperature Td (50 ≤ Td ≤ 200 °C) of the Ge1−xSnx precursor on glass affects subsequent solid-phase crystallization. Upon incorporating 3.2% Sn, which is slightly above the solubility limit of Sn in Ge, the crystal grain size increases and the grain-boundary barrier decreases, which increases the hole mobility from 80 to 250 cm2/V s. By increasing t from 100 nm to 200 nm, the hole mobility reaches 370 cm2/V s despite the grain becoming smaller. This suggests that the higher hole mobility with the thicker GeSn layer arises from the reduction of the GeSn/glass interface scattering. Furthermore, at Td = 125 °C, the hole mobility reaches 410 cm2/V s, which is tentatively attributed to the formation of a dense amorphous GeSn precursor. This is the highest hole mobility for semiconductor thin films on insulators formed below 500 °C. These results thus demonstrate the usefulness of Sn doping of polycrystalline Ge and the importance of temperature while incorporating Sn. These findings make it possible to fabricate advanced Ge-based devices including high-speed thin-film transistors.

U.10.3
14:45
Authors : Yonglie Sun 1 2, Ryo Matsumura 1, Wipakorn Jevasuwan 1, Naoki Fukata 1 2
Affiliations : 1 International Center for Materials Nanoarchitectonics (MANA), National Institute for Materials Science (NIMS); 2 Institute of Applied Physics, University of Tsukuba

Resume : GeSn nanowires can be applied to metal-oxide semiconductor field-effect transistors (MOSFETs) and optoelectronics devices due to their high carrier mobility and the potential to achieve a direct band structure. Vapor-liquid-solid (VLS) growth with Au catalyst is widely employed to grow highly crystalline Ge nanowires [1]. Here, in this study, Au/Sn alloy was used as both Sn source and catalyst to guide Sn incorporation during the VLS growth of Ge nanowire. Sn nanoparticles and Au films with different thickness were deposited onto Si (111) substrate by a thermal evaporator. Then, those samples were loaded into a chemical vapor deposition (CVD) chamber to perform VLS growth of nanowires. Here, GeH4 was used as precursor gas under different growth temperature. Results of X-ray diffraction and Raman measurements proved that GeSn nanowires can be successfully grown with various conditions. Here, we could clearly say that higher initial Sn content in catalysts result in higher Sn incorporation and higher crystallinity of GeSn nanowires. Sample grown with Au 1nm/Sn 10 nm catalysts (360 °C, 20 min) showed the highest Sn content (5 at.%), which was estimated by Energy-dispersive X-ray (EDX) spectroscopy and confirmed by Raman measurement. [1] Fukata, N. et al. ACS Nano 4, 3807 (2010)

U.10.4
15:00
Authors : Anurag Vohra1,2, Afrina Khanam3, Jonatan Slotte3, Ilja Makkonen3, Roger Loo2, Geoffrey Pourtois2,4 and Wilfried Vandervorst1, 2
Affiliations : 1K.U. Leuven, Dept. of Physics, Celestijnenlaan 200D, 3001 Leuven, Belgium 2Imec vzw, Kapeldreef 75, 3001 Leuven, Belgium 3Department of Applied Physics, Aalto University, P.O. Box 14100, FI-00076 Aalto, Finland 4Department of Chemistry, Plasmant Research Group, University of Antwerp, B-2610 Wilrijk-Antwerp, Belgium

Resume : The E centers (dopant-vacancy pairs) play significant role in charge carrier compensation in semiconductors. In order to gain insight in the evolution of Pn-V clusters during epitaxial growth of in-situ phosphorus doped Ge, positron annihilation spectroscopy was performed on epitaxial Ge layers grown by chemical vapor deposition with different concentrations of Phosphorus (~1x1018-1x1020 cm-3). The increase (decrease) in S (W) line shape parameter with P concentration refers to an increased concentration of defects (vacancies) in the epi layers. The occurrence of Pn-V clusters leads to dopant deactivation in Ge. We corroborate our experimental results with electronic structure calculations for Pn-V clusters, corresponding positron states and annihilation characteristics were modeled as well. The fingerprint for the existence of Pn-V clusters in Ge:P layers is evident from their reduced contribution towards electron-momentum distribution in coincidence Doppler spectra. At high momenta (p > 1.4 a.u.), the Doppler spectra in Ge is dominated mainly by positron annihilation with 3d core electrons. The presence of P atoms around the vacancy instead of Ge atoms reduce the effective electron density due to missing 3d shell. This is turn leads to decreased positron annihilation probability with core electrons. The continuous decrease in intensity in high momentum region of Doppler spectra substantiates the evidence that the number of P atoms around the vacancy increases with P concentration.

U.10.5
 
Others materials : TBC
16:00
Authors : Raffaella Calarco
Affiliations : Paul-Drude-Institut für Festkörperelektronik, Hausvogteiplatz 5-7, 10117 Berlin, Germany

Resume : Phase change materials (PCM) are a technologically important materials class. There are two mature main application areas for PCM. The first deals with their use in optical discs for data storage. The second application area is in electronics and is known as phase change random access memory (PRAM). These memory elements exploit the resistance contrast between the amorphous and crystalline phases. The prototype phase change material Ge2Sb2Te5 displays a cubic and a trigonal crystalline phase. In our group we pioneered the epitaxial growth of PCM using molecular beam epitaxy (MBE) and studied the ordering of the crystalline phases. Such materials are lamellar and display a pronounced bond hierarchy, featuring strong bonds within quasi 2D building blocks, while weak bonds link adjacent blocks. These weak bonds are frequently referred to as van der Waals (vdW) bonds. The weak interlayer interaction ? which causes the 2D nature of these materials ? is both a blessing and a curse. On the one hand, it allows the growth of heterostructures and superlattices of dissimilar 2D materials without epitaxial guidance (vdW epitaxy). Yet, it also creates adverse side-effects such as poor adhesion and wetting. More importantly, the weak coupling impedes strain engineering. Clearly, in the limit of zero coupling across vdW gaps, it should be impossible to introduce any strain in the growing 2D film. Yet, if enough coupling prevails across these gaps, strain engineering should be possible, too. The engineering of strain is an elegant concept to tailor physical properties without changing composition. Here I will discuss two examples of strain engineering in PCMs. The first method is to induce strain at the interface in the vdW epitaxy of GeTe-Sb2Te3 alloys by the employment of vicinal surfaces. The epitaxial layer is tilted along the growth direction with respect to the substrate, due to the out-of-plane lattice mismatch which introduces strain at the step edges, whereas the in-plane component is weakly bonded to the surface. Within the second method I will present evidence that p-bonded GeTe/Sb2Te3 superlattices (SLs), V2VI3 as well as their alloys devise a gap of non-pure vdW nature between the two chalcogenide atoms. Moreover, the larger coupling across the gap allows the tuning and engineering of strain. Most importantly, SLs of this class of materials develop a tunable distribution of in-plane lattice constants. Such a distribution of lattice constants within one solid has no precedent and is clearly beyond reach in classical 3D coupled solids.

U.11.1
16:30
Authors : F. Eltes(1), M. Kroh(2), D. Caimi(1), C. Mai(2), Y. Popoff(1,3), G. Winzer(2), D. Petousi(2), S. Lischke(2), J. E. Ortmann(4), L. Czornomaz(1), L. Zimmermann(2), J. Fompeyrine(1), S. Abel(1)
Affiliations : (1) IBM Research – Zurich, Säumerstrasse 4, 8803 Rüschlikon, Switzerland; (2) IHP, Frankfurt (Oder), Germany, (3) EMPA, Dübendorf, Switzerland, (4) The University of Texas at Austin, Austin, TX, USA

Resume : Photonics has been the backbone for long range data communication since many years. More recently, the need for bandwidth drove the development towards a tighter integration of electro-optical systems, and silicon photonics became the baseline technology. As a key asset for the future of information and communication technology, silicon photonic integrated circuits will greatly benefit from the integration of novel materials. They should enhance performance or create functionalities not available in the standard materials set used in photonic foundries. Several oxide materials have very interesting optical properties and can nowadays be integrated with silicon while maintaining their superior optical characteristics. Polar materials such as ferroelectric oxides fall into this category. Such materials are extremely relevant because they are already in use as discrete components for long range communication, e.g. using LiNbO3 for electro-optical modulators. However, unless one can integrate such materials into silicon photonics, modulators will be limited to the use of the plasma dispersion in silicon. In this contribution, we show a path to integrate various BaTiO3 electro-optic devices monolithically with a silicon photonic platform.

U.11.2
16:45
Authors : H. King, T. Harig, M. Höfer, and V. Sittinger
Affiliations : Fraunhofer Institute for Surface Engineering and Thin Films IST

Resume : The crystallite fraction of nano-crystalline Si:H (nc-Si:H) films deposited by means of hot-wire chemical vapor deposition (HWCVD) is investigated. The effect of Phosphorus and Boron as dopants on the film structure with varying levels of additional in-situ H2 gas are compared to un-doped control runs. All films were produced in a large scale inline coater using an array of 10 0.5 mm Tungsten wires with 50 mm spacing, kept at 2100 °C, with a filament to substrate spacing of 75 mm. The level of dopant gas flow relative to the Silane (SiH4) process gas flow was varied from 0.1 % to 1.0 % for n-doping (PH3), and from 0.01 % to 0.67 % for p-doping (B2H6). The crystallinity in the films was investigated via Raman spectroscopy, X-ray diffraction (XRD) and SEM imaging. The dopant concentration is found to have a strong effect on the hydrogen gas flow required for film crystallization. The increase of n-type doping corresponded to a shift of the onset of crystallinity by 500 sccm of H2 flow. Whereas the increase in p-type doping shifted the onset of crystallinity in the films, such that no crystallinity was measured in the 0.67 % B2H6 films within the working range of the device (5050 sccm H2 maximum flow). The final reachable value of crystallinity in the Silicon films also shows a strong dependency on the type and the level of doping. With an increase of doping corresponding to a strong decrease in maximum reachable crystallite fraction. The different behavior of P and B dopants may be explained by a size effect (misfit of covalent radii) or by a chemical effect.

U.11.3
17:00
Authors : Ming-Xun Jiang,1 Yu-Jou Hung,1 Yi –Jun Huang,4 Sheng-Wei Lee,1,2,3* Shih-Chieh Hsu,5
Affiliations : 1 Institute of Materials Science and Engineering, National Central University, Taoyuan, Taiwan 2 Department of Mechanical Engineering, National Central University, Taoyuan, Taiwan 3 Department of Chemical and Materials Engineering, National Central University, Taoyuan, Taiwan 4 Bachelor's Program in Advanced Material Sciences, Tamkang University, Taipei, Taiwan 5 Department of Chemical and Materials Engineering, Tamkang University, Taipei, Taiwan

Resume : Higher manganese silicide (HMS) have a complex band structure with multiple valleys close to the conduction and valence band edges. It has been recognized as the most promising p-type thermoelectric material for harnessing waste-heat in the mid-temperature range, owing to its earth-abundant, environmentally friendly nature and thermal stability of its constituent elements. It is well known that HMS exist as several incommensurable phases such as Mn4Si7, Mn11Si19, Mn15Si26, Mn27Si47, and all of these compounds are Nowotny chimney phases exhibiting tetragonal crystal structure. There are many methods to improve thermoelectric performance through compositional optimization by doping or substitution. In this research, we have investigated the effect of Sn doping on the microstructure and thermoelectric properties of polycrystalline HMS samples, which were prepared by arc melting and followed by spark plasma sintering (SPS). The electrical conductivity is slightly decreased then increase afterwards implying point defect was introduced by Sn doping. The Seebeck coefficient is increased as a result of further decreased hole concentration with 0.1 at% Sn doping. The abundant phonon scattering results in appreciably reduced thermal conductivity of 2.1 Wm-1K-1 at 748 K, which primarily contributes to the enhancement in ZT. The maximum ZT of Sn-doped HMS is 0.275 at 748 K. Key words: Higher manganese silicide, Thermoelectric properties, Tin, and Incommensurate phase

U.11.4

No abstract for this day


Symposium organizers
Clement MERCKLINGImec

Kapeldreef 75, 3001 Leuven, Belgium

+32 (0)16 28 86 91
clement.merckling@imec.be
Francesco MONTALENTIUniversity of Milano-Bicocca

Dipartimento di Scienza dei Materiali, Via R. Cozzi 55, 20125 Milan - Italy

+39 0264485226
francesco.montalenti@unimib.it
Inga Anita FISCHERBrandenburg Technical University

Erich-Weinert-Str. 1, 03046 Cottbus, Germany

inga.fischer@b-tu.de