preview all symposia

SEMICONDUCTORS

P

Silicon & Silicon nanostructures: from recent fundamental research to novel applications

Silicon and its nanostructures are a well-established material system that represents the backbone of modern electronics, optics, and photovoltaics. Despite widespread activities in fundamental and applied research, many physical aspects are still not understood in detail or are a matter of debate. Unraveling presently unknown properties of silicon by exploring the underlying phenomena in theory and experiment, both in the bulk and on the nanoscale, creates unique opportunities for enhanced performance or even novel applications in CMOS, PV, optics, and sensor applications.

Scope:

This symposium intends to cover the full range from theory/modelling to synthesis/fabrication and device characterization in silicon and Si-nanostructure research.

In recent years, massive advancements were made in fabricating, measuring, modelling and understanding silicon nanostructures. However, the focal point of interest shifted from nanostructure-volume properties (like quantum confinement) to surface-/interface-related effects and the interaction with impurity atoms or ligands. Several interesting effects were discovered (e.g. surface functionalization that switches the bandgap type to direct, interface charge transfer depending on the dielectric matrix material, to name a few). Whereas the inability to utilize classical electronic dopants in Si nanostructures is gradually revealed, very high doping levels give rise to plasmonic effects in Si QDs as investigated in e.g. biomarkers. Si nanocrystal based LEDs are envisaged for all-Si based optical communication but efficient hole injection and long-term stability require further research. In analogy to graphene, 2D-monolayers of silicene are currently investigated with unforeseeable opportunities for fundamental research and application. Silicon nanostructures are also an emerging material in gas- and biomedical-sensing, where thorough understanding of interface and surface effects is mandatory to identify and quantify target chemicals.

While Si nanostructure researchers increasingly gain a more comprehensive understanding, CMOS technology continues to decrease feature sizes below 10 nm where all those effects mentioned above come into play. Currently, this causes mainly deteriorations of performance and reliability (e.g. statistical distribution of discrete dopant numbers). On the bright side, deep insight into nanoscale Si-interface physics holds potential to discover alternatives to conventional Si doping (e.g. undoped reconfigurable Si NW FETs).

From a macroscopic viewpoint, findings at the nanoscale can also help to improve bulk-Si devices such as photovoltaic devices. Heterojunction with intrinsic thin layer (HIT) solar cells require passivating tunneling contacts where thorough understanding of surface/interface effects at the nanoscale offers promising routes for optimization.

Hot topics to be covered by the symposium:

  • Si quantum dots (QDs), nanocrystals (NCs), nanowires (NWs): theory, synthesis, properties, applications
  • Doping of Si nanostructures: conventional and alternative concepts
  • Plasmonic Si nanostructures
  • Si surface functionalization: impact of strain and ligands
  • Si-based light emitting devices (LEDs)
  • Si nanostructures for gas- and bio-sensors
  • Silicene: fabrication, properties, theory
  • Ultra-small Fin-FET fabrication and performance: problems and solutions
  • Novel Si-CMOS devices: dopant-free FETs, NW-FETs, etc.
  • Photovoltaics: HIT solar cells & passivating tunneling contacts

List of invited speakers:

  • Rebecca Anthony (Michigan State Univ, USA)
  • Matt Beard (NREL, Golden, USA)
  • Andres Cuevas (ANU, Canberra, Australia)
  • Reuben Collins (Colorado School of Mines, USA)
  • Thomas Frauenheim (Univ. Bremen, Germany)
  • Stefan Glunz (Fraunhofer ISE, Germany)
  • William M.J. Green (IBM Research, Yorktown Heights, USA)
  • Johannes Heitmann (Univ. of Technology and Mining School, Freiberg, Germany)
  • Stephan Hofmann (University of Cambridge, UK)
  • Joachim Knoch (RWTH Aachen, Germany)
  • Katerina Kusova (Academy of Science, Czech Republic)
  • Guy Le Lay (Marseille University, France)
  • Al Meldrum (University of Alberta, Edmonton, Canada)
  • Yann-Michel Niquet (CEA-INAC, Grenoble, France)
  • Heike Riel (IBM Zurich, Switzerland)
  • Yossi Rosenwaks (Tel Aviv, Israel)
  • Udo Schwingenschlögl (King Abdullah Univ, Saudi Arabia)
  • Martin Stutzmann (TU Munich, Germany)
  • Richard Tilley (UNSW, Sydney, Australia)
  • Emanuel Tutuc (University of Texas at Austin, USA)
  • Noritaka Usami (Nagoya University, Japan)
  • Jan Valenta (Charles Univ Prague, Czech Republic)
  • Jonathan G.C. Veinot (University of Alberta, Edmonton, Canada)

List of scientific committee members:

  • Kaining Ding (FZ Jülich, Germany)
  • Sebastian Gutsch (University of Freiburg, Germany)
  • Lukas Ondic (Czech Academy of Sciences Prague, Czech Republic)
  • Michele Perego (CNR, Italy)
  • Binesh Puthen-Veettil (UNSW, Sydney)
  • Steffen Strehle (University of Ulm, Germany)

Proceedings:

Proceedings will be published in Physica Status Solidi (c). Upon nomination by the (Guest-)Editors selected high-quality papers can be published in Physica Status Solidi (a) or (b).

Start atSubject View AllNum.Add
 
Si-Nanocrystals: Optical Properties I : Chairs: R. Tilley, D. König
09:00
Authors : J. Valenta (a), M. Greben (a), S. Gutsch (b), J. Laube, D. Hiller (b), M. Zacharias (b), and S. Dyakov (c).
Affiliations : (a) Faculty of Mathematics & Physics, Charles University, Prague, Czechia. (b) Faculty of Engineering, IMTEK, Albert-Ludwigs-University Freiburg, Germany. (c) Center for Photonics and Quantum Materials, Skolkovo Institute of Science &Technology, Russia.

Resume : Luminescence quantum yield (QY) is a crucial parameter for any material to be used in light-emitting devices, wavelength converters etc. In case of materials composed of ensembles of nanocrystals (NCs) in a solid or liquid medium we distinguish external and internal QY (EQY, IQY). EQY is defined as the ratio of total number of emitted to absorbed photons for the whole sample, while IQY concerns only the luminescing subensemble of NCs. It seems that many materials contain significant fraction of “dark” NCs that absorb but not emit photons due to the presence of non-radiative recombination centres (defects). EQY is conveniently measured using an integrating sphere [1,2] while IQY is not easily accessible. The most feasible way to obtain IQY is the variation of local density of optical states which affects radiative but not non-radiative lifetime, so enabling to decouple these two components and calculate IQY. We study both EQY and IQY of Si NCs using special samples with variable distance between NCs and a high-n substrate. In addition, we adapted all experimental techniques for slow decay time and low saturation threshold of Si NCs and avoided possible artefacts [3]. Combining spectral measurements of EQY, IQY, absorption cross section (ACS) [4] with size distribution of NCs we obtain distribution of dark NCs in an ensemble. By exploring the large number of samples and by careful optimization of structural parameters we demonstrate EQY approaching 50 %, which was up to now possible only in organically passivated Si NCs. [1] J. Valenta, Nanoscience Methods 3 (2014) 11-27 (OA). [2] J. Valenta et al., Appl. Phys. Lett. 105 (2014) 243107. [3] M. Greben and J. Valenta, Rev. Sci. Instr. 87 (2016) 126101. [4] J. Valenta et al. Appl. Phys. Lett. 108 (2016) 023102.

P.1.1
09:30
Authors : B. van Dam, C. Osorio, M.A. Hink, R. Muller, A.F. Koenderink, K. Dohnalova
Affiliations : B. van Dam, K. Dohnalova - Insitute of Physics, University of Amsterdam, Amsterdam, The Netherlands; C. Osorio, R. Muller, A.F. Koenderink - Center for Nanophotonics, AMOLF, Amsterdam, The Netherlands; M.A. Hink - Section of Molecular Cytology and van Leeuwenhoek Centre for Advanced Microscopy, Swammerdam Institute for Life Sciences, University of Amsterdam, Amsterdam, the Netherlands

Resume : Silicon quantum dots terminated by organic ligands (C-SiQDs) can be synthesized to exhibit radiative rates approaching those of direct bandgap QDs [1,2] and size-tunable emission in the visible range, which makes them promising for lighting applications. Despite the enhanced emission rate, however, the ensemble quantum yield (QY) in the visible range remains low (<20%). To identify the factors limiting the QY of C-SiQDs, we study the underlying microscopic processes: photoluminescence blinking and radiative recombination. For the latter we measure the emission lifetime and decouple the non-radiative and radiative recombination pathways via control of the local density of optical states (LDOS), in a Drexhage-type experiment. This direct measurement confirms the high radiative rate of C:SiQDs and we find that this leads to a high internal quantum efficiency. Moreover, by comparison with the blinking behavior, we identify the leading mechanisms that limit emission efficiency and hence application of C-SiQDs in lighting technologies. [1] K. Dohnalova et al., Light: Science and Applications 2 (2013) e47 [2] K. Kusova et al., ACS Nano 4 (2010) 4495

P.1.2
09:45
Authors : Federico Pevere, Fatemeh Sangghaleh, Benjamin Bruhn, Ilya Sychugov, Jan Linnros
Affiliations : Department of Materials and Nano Physics, KTH Royal Institute of Technology, Electrum 229, 16440 Kista, Sweden

Resume : Non-radiative recombination in oxide-embedded silicon nanocrystals (Si-NCs) has traditionally been explained by an unknown defect at the Si/SiO2 interface. Here we propose an alternative model where excited carriers tunnel resonantly to oxide trap states, leaving a charged NC behind ruled by Auger. Consequently, one may expect a fast photoluminescence (PL) transient following an excitation pulse due to the transfer rate, but also a delayed luminescence. In this work, the PL decay of single Si/SiO2-NCs was measured. While room temperature luminescence is mono-exponential, a bi-exponential decay was observed at cryogenic temperatures. We claim this to originate from a very fast blinking process (super-blinking) based on resonant tunneling of carriers to oxide trap states. By theoretical modelling, analytical formulas of the switching rates could be found. The extracted values (10-100 kHz) indicate that super-blinking promotes non-radiative Auger recombination even at moderate cw excitations. As a proof of our model, we compared ensembles of oxide-passivated and ligand-passivated Si-NCs emitting at the same energies. An order of magnitude loss of efficiency is found for the former sample at moderate excitations, whereas for ligand-passivated NCs Auger recombination seems to be activated at much higher excitations due to a lack of oxide traps and absence of super-blinking. Our results could be used also in other semiconductor nanocrystals characterized by delayed luminescence.

P.1.3
 
Si-Nanocrystals: Optical Properties II : Chairs: J. Valenta, D. Hiller
10:30
Authors : B. F. P. McVey1,2, M. Al Miamani1,2, D. Koenig3,4, J. J. Gooding1,2, R. D. Tilley1,2,5
Affiliations : 1School of Chemistry, UNSW, Sydney, NSW, Australia 2Australian Centre for Nanomedicine, UNSW, Sydney, NSW, Australia 3Integrated Materials Design Centre (IMDC), School of Chemical Engineering, UNSW, Sydney, NSW, Australia 4School of Photovoltaic and Renewable Energy (SPREE), UNSW, Sydney, NSW, Australia 5Electron Microscope Unit of the Mark Wainwright Analytical Centre, UNSW, Sydney, NSW, Australia

Resume : Silicon Nanocrystals (Si NCs) are an interesting class of semiconductor nanocrystals due to their unique optical properties, high natural abundance, and low toxicity.1 The size and surface dependent optical properties of Si NCs combined with its low toxicity give it a strong future in applications ranging from bioimaging to LEDs and solar cells.1 Tuning the optical properties of Si NCs is a significant synthetic challenge. Key areas to improve include tuning the emission range, which if addressed will lead to dramatic improvements in Si NC applications such as optoelectronic devices (LEDs) and bioimaging. Doping of semiconductor nanocrystals has been particularly successful at tuning the optoelectronic properties, unlocking a new range of emissions beyond simple size tunability (Cu2+ in InP), and enhancing quantum yields (Ag+ in CdSe).2-3 Doping of Si NCs to tune the optical properties is a promising and relatively unexplored method, with few examples in the literature.1,4 This presentation will discuss the synthesis and characterization of Mn, Ni, and Cu doped Si NCs, highlighting their unique dopant dependent optical properties.4 Doped Si NCs were produced through use of strong hydride reducing agents to co-reduce metal dopant and silicon salt in the presence of quaternary amine surfactants.4 Doped Si NCs were shown to be highly monodisperse with comparable size to undoped Si NCs by transmission electron microscopy.4 The optical properties of doped Si NCs were studied by ultraviolet-visible spectroscopy, as well as time resolved and steady state photoluminescence spectroscopy.4 Doped Si NCs demonstrate distinctive optical properties such as enhanced absorption and emission redshifts of over 50 nm compared to pure Si NCs 1) M. P. Singh, T. M. Atkins, E. Muthuswamy, S. Kamali, C. Tu, A. Y. Louie and S. M. Kauzlarich, ACS Nano, 2012, 6, 5596-5604. 2) R. Xie and X. Peng, J. Am. Chem Soc., 2009, 131, 10645-10651. 3) A. Sahu, M. S. Kang, A. Kompch, C. Notthoff, A. W. Wills, D. Deng, M. Winterer, C. D. Frisbie, and D. J. Norris Nano Lett. 2012, 12, 2587-2594. 4) B. F. P. McVey, J. Butkus, J. E. Halpert, J. M. Hodgkiss and R. D. Tilley J. Phys. Chem. Lett. 2015, 6, 1573-1576.

P.2.1
11:00
Authors : Katerina Kusova
Affiliations : Institute of Physics of the ASCR, v.v.i.

Resume : In cotrast to bulk silicon, silicon nanocrystals have been known to exhibit luminescence visible to the naked eye for some twenty five years now. Although tremendous progess has been made since, many unanswered questions as to the origin of this luminescence in various types of silicon nanocrystals remain. In this contribution, we will briefly summarize the already-known facts about the luminescence of various types of silicon nanocrystals, mainy from the experimental point of view. Later on, we will focus on the luminescence properties of one particular type of silicon nanocrystals studied in our laboratory - strain-engineered silicon nanocrystals with direct bandgap.

P.2.2
11:30
Authors : Arzu Angı,a Regina Sinelnikov,b Al Meldrum,c Jonathan G. C. Veinot,b Isacc Balberg,d Doron Azulay,d Oded Millo*d and Bernhard Rieger*a
Affiliations : a. WACKER-Lehrstuhl für Makromolekulare Chemie, Technische Universität München, Lichtenbergstrasse 4, 85747 Garching, Germany. b.Department of Chemistry, University of Alberta, 11227 Saskatchewan Drive, Edmonton, Alberta, Canada T6G 2G2 c.Department of Physics, University of Alberta, Edmonton, Alberta T6G 2G2, Canada d.Racah Institute of Physics, The Hebrew University of Jerusalem, Jerusalem 91904, Israel.

Resume : Surface functionalization of hydride terminated silicon nanocrystals (SiNCs) with organolithium reagents was established as a new and efficient route. This method offers, for the first time, the opportunity to bind π-conjugated aryl and alkynyl groups, such as phenyl and phenylacetylene, directly to the hydride terminated SiNC surface while ensuring monolayer coverage. Subsequent to the functionalization, we observed that the SiNC optical response was influenced by the identity of the surface groups. PL maximum red-shifts for ~40 nm with phenylacetylene surface groups, with respect to hexyl and phenyl counterparts. The mechanism behind this observation is of great interest because it may provide insights into the influence of conjugated surface groups on the optoelectronic properties and electronic structure of SiNCs. Scanning tunneling microscopy/spectroscopy (STM/STS) is utilized to explain the influence of the surface groups on the PL emission of SiNCs, in combination to optical (PL and UV-Vis) spectroscopies and excited state lifetime measurements in our study. STS results show that the band gaps of the SiNCs functionalized with hexyl, phenyl or phenylacetylene groups are nearly identical. However, for SiNCs functionalized with phenylacetylene, the tunneling spectra reveal the formation of an in-gap state close to the conduction band edge of the SiNCs. The PL shift to a lower energy can be attributed to a transition via this in-gap state, which reduces the apparent band gap for recombination. To the best of our knowledge, this is the first time that an in-gap state, intentionally introduced via surface functionalization, has been observed by STS for SiNCs.

P.2.3
11:45
Authors : Alyxandra N. Thiessen (1), Tapas K. Purkait (2), Jonathan G. C. Veinot (1)
Affiliations : (1) Department of Chemistry, University of Alberta, Edmonton, Alberta T6G 2G2, Canada (2) Department of Chemistry, Johns Hopkins University, 3400 N. Charles St, Baltimore, MD 21218, USA

Resume : The negligible toxicity, solution processability, tailorable surface chemistry and optical response of silicon nanocrystals (SiNCs) make them an extremely attractive material for biological and medical imaging. Unfortunately, background fluorescence arising from biological tissues resides in the same spectral (i.e., blue) region of many SiNCs investigated for these applications.1 While one might expect that tuning the SiNC luminescence into the “red” region would be straightforward by changing particle size previous reports indicate that even trace nitrogen (e.g., amines) induces size independent SiNC-based luminescence.2 Complicating matters, much of the established derivatization chemistry used to interface status quo CdSe@ZnSe quantum dots with biological systems requires an amine functionality appended to the quantum dot surface. If the full potential of SiNCs is to be realized it would be very useful to introduce an active amine surface reactive platform. This presentation will outline a new Lewis acid mediated route for preparing red-emitting SiNCs bearing pendant nitrogen functionalities that can be further derivatized to provide stimuli responsive hydrophilicity. References: 1. Y. Zhai, M. Dasog, R. B. Snitynsky, T. K. Purkait, M. Aghajamali, A. H. Hahn, C. B. Sturdy, T. L. Lowary, J. G. C. Veinot J. Mater. Chem. B 2014, 2, 8427-8433 2. M. Dasog, Z. Yang, S. Regli, T. M. Atkins, A. Faramus, M. P. Singh, E. Muthuswamy, S. M. Kauzlarich, R. D. Tilley, J. G. C. Veinot ACS Nano 2013, 7, 2676-2685

P.2.4
12:00
Authors : Asuka Inoue, Hiroshi Sugimoto, Minoru Fujii
Affiliations : Department of Electrical and Electronic Engineering, Graduate School of Engineering, Kobe University

Resume : Silicon quantum dots (QD) have been intensively studied as a nano-light-source for biophotonic applications because of their excellent characteristics such as the tunable luminescence, the high photostability, the high chemical stability and the high biocompatibility. A drawback of a Si-QD for the biophotonic application is the small absorption cross section in the visible range due to the indirect nature of the energy band structure. A promising approach to overcome the problem is utilizing surface plasmon resonances of metal nanostructures. [1-3] In order to maximize the benefit of the enhanced electric fields of surface plasmon resonances, the resonance wavelengths should be tuned exactly to the excitation and emission wavelengths of a Si-QD. Furthermore, the distance between a Si-QD and a metal nanostructure should be controlled very precisely with the accuracy of a few nm. In this work, we employ a silver (Ag) film over nanosphere (AgFON) structure as a plasmonic substrate. The AgFON structure has multiple surface plasmon resonances in the visible to near infrared ranges and the resonance wavelengths can be controlled by the structural parameters. We develop a process to place a monolayer of Si-QDs on an AgFON structure by controlling the distance between the substrate and a Si-QDs monolayer from 1 to 10 nm with the accuracy of ~1 nm by using a polymer layer grown by a layer-by-layer assembly method as a spacer. We demonstrate that in optimized conditions, due to efficient coupling with a surface plasmon resonance, the luminescence of Si-QDs is strongly enhanced and the spectral shape is significantly modified. Furthermore, photoluminescence excitation spectra are strongly modified and the luminescence excitation cross section is more than one order of magnitude enhanced in the wavelength range where the intrinsic absorption cross section of Si-QDs is very small. [1]A. Inoue et al., Journal of Physical Chemistry C, 119, 44, 25108, 2015 [2]A. Inoue et al., RSC Advances, 6, 68, 63933, 2016 [3]H. Sugimoto et al., ACS Photonics, 2, 9, 1298, 2015

P.2.5
12:15
Authors : J. López-Vidrier,1 D. Hiller,1 S. Gutsch,1 J. Laube,1 O. Blázquez,2 S. Hernández,2 B. Garrido2 and M. Zacharias1
Affiliations : 1Laboratory for Nanotechnology, MTEK, Faculty of Engineering, University of Freiburg, Georges Köhler Allee 103, 79110, Freiburg, Germany. 2MIND-IN2UB, Departament d’Electrònica, Universitat de Barcelona, Martí i Franquès 1, E-08028, Barcelona, Spain.

Resume : Silicon nanocrystals (Si NCs) exhibit band gap energy tunability by modifying their size, which makes them a potential candidate as active material in light-emitting diodes. Amongst the most studied electroluminescence (EL) mechanisms taking place in matrix-embedded Si NC systems, impact excitation and bipolar injection are the most probable ones for electron-hole pair formation within the Si NC. So far, DC electrical excitation of matrix-embedded Si NC systems, which favours inefficient impact excitation, has proved to result in very low EL yield. In contrast, pulsed excitation might more efficiently activate sequential injection of electrons and holes, consequently enhancing EL emission. We explore the EL properties under pulsed excitation of Si NC / SiO2 multilayers embedded in a metal-insulator-semiconductor device structure, with ZnO as transparent conductive oxide on top and Al at the bottom of the structure. EL spectra exhibit contributions from Si NCs, as expected after photoluminescence investigation, as well as ZnO, due to the excitation of deep-level defect states; in addition, the Si substrate doping type was found to play an important role on the emission characteristics. Finally, pulsed electrical excitation proved to enhance EL intensity by more than one order of magnitude due to efficient sequential carrier filling and emptying of the emitting centres. Besides, the duty cycle modification of the pulse allowed tuning the spectra lineshape in a controlled manner.

P.2.6
 
Silicene : Chairs: K. Kusova, W. Weber
14:00
Authors : Guy Le Lay
Affiliations : Aix-Marseille University, PIIM, UMR 7345, Marseille, France; guy.lelay@univ-amu.fr

Resume : In recent years, we have artificially created novel low-dimensional silicon allotropes in zero, one and two dimensions on silver templates. In my talk, I will describe advances in the synthesis, functionalization, electronic properties, and potential applications of these OD, 1D and 2D exotic forms of silicon, which are artificially created since they have no parent crystal in nature, at variance with graphene, which descents from graphite. These new silicon allotropes are hexasilabenzene-like nanodots [1], massively parallel pentasilicene-like nanoribbons purely composed of pentagonal Si tiles [1], and single [2]- to multi-layer silicene sheets hosting Dirac fermions [3]. I will also discuss two silicene’s heavier cousins, germanene [4] and stanene [5], whose strong Spin Orbit Coupling lets anticipate the Quantum Spin Hall effect at room temperature and even above. 1. J. I. Cerdá et al., Nature Comm., 7, 13076 (2016). 2. P. Vogt et al,. Phys. Rev. Lett. 108, 155501 (2012). 3. P. De Padova et al., 2D Mater., 3, 031011 (2016). 4. M. E. Dávila and G. Le Lay, Sci. Rep. 6, 20714 (2016). 5. Feng-feng Zhu et al., Nature Materials, 14, 1020 (2015).

P.3.1
14:30
Authors : U. Schwingenschlogl
Affiliations : King Abdullah University of Science and Technology (KAUST), Physical Science and Engineering Division (PSE), Thuwal 23955-6900, Saudi Arabia

Resume : Silicene is the Si analogue of graphene with the same honeycomb structure and linear dispersions of the π and π* bands at the K point of the Brillouin zone. It is predicted to realize a buckled structure, due to sp2-sp3 hybridization, and is compatible with current Si-based nano-electronics. Silicene yet has not been achieved by mechanical exfoliation, because of the sp3 bonding, but can be deposited on metallic substrates such as Ag(111), Ir(111), and ZrB2(0001). Regrettably, strong interaction to these substrates destroys the Dirac physics. For this reason, semiconducting substrates including Si(111) and SiC(0001) have been explored theoretically whether they lead to a Dirac cone with reasonable band gap (which is essential for applications). However, surface passivation is inevitable for these and similar substrates, due to their dangling bonds. Layered materials such as MgBr2(0001), MoX2, and GaX2 (X = S, Se, and Te), on the other hand, preserve the characteristic electronic states of silicene and additionally simplify the preparation procedure as passivation is not required. The predicted effects of different substrates on silicene will be compared and evaluated with respect to technological requirements.

P.3.2
15:00
Authors : Emilio Scalise, Michel Houssa
Affiliations : Max Planck Institut fuer Eisenforschung GmbH, Dusseldorf (Germany) Catholic university of Leuven, Leuven (Belgium)

Resume : Group IV graphene-like structures are emerging as a promising class of materials in the larger family of two dimensional (2D) materials. Particularly, Silicene has been successfully grown on various metallic surfaces and recently integrated in field effect transistor architecture. The characterization of the electronic and electrical properties of silicene on these metallic substrates is very challenging, since the metal largely dominates these properties. Recently, the growth of 2D silicon nanosheets onto MoS2 substrate paved the way to the possible creation of so-called van der Waals (vdW) heterostructure, thus minimizing the “contamination” of the silicene electronic properties by the substrate. Here we discuss a first principle study on the stability, the structural and the electronic properties of two-dimensional silicon allotropes on semiconducting layered metal-chalcogenide compounds, focusing on SnS2 and MoS2. The interactions between silicene and the layered chalcogenide templates are investigated, considering different configurations of silicene, including structures having Si atoms placed on three different planes, so called dumbbell silicene. Our thermodynamic phase diagram and molecular dynamics calculations give clear indications about the stability of the different silicene structures and suggest dumbbell silicene as the most stable one. Finally, the effect of an electric field on the electronic properties of the silicene/chalcogenide heterostructures is also investigated.

P.3.3
15:15
Authors : P. Castrucci1, I. Berbezier2, A. Ronda2, M. Abbarchi2, F. Fabbri3, S. Piazzi4, M. Scarselli1, F. Jardali5, H. Vach5, R. Francini6 and M. De Crescenzi1
Affiliations : 1 Dipartimento di Fisica, Università degli Studi di Roma “Tor Vergata”, 00133 Roma, Italy 2 CNRS, Aix-Marseille Université, IM2NP, UMR 7334, Campus de St. Jérome, 13397 Marseille, France 3 IMEM CNR, Parco Area delle Scienze, 43124 Parma (Italy) 4 Hypatia Research Consortium, c/o Italian Space Agency, Via del Politecnico, 00133, Roma 5 CNRS-LPICM, Ecole Polytechnique, Université Paris-Saclay, 91128 Palaiseau, France 6 Dipartimento di Ingegneria Industriale, Università degli Studi di Roma “Tor Vergata”, Via del Politecnico 1, 00133 Roma, Italy

Resume : To date, fabrication of silicene on metallic substrates has been widely reported. However, metallic substrates might prevent the formation of pure-silicene because of the interaction between Si and metal atoms. To get rid of this problem, we directly deposited one monolayer of Si on a chemically inert graphite substrate at room temperature. Based on atomic force microscopy, scanning tunneling microscopy (STM), Raman spectroscopy and ab initio molecular dynamics simulations, we reveal the growth of Si nanosheets, with weak substrate interaction, coexisting with Si nanoclusters. In situ STM measurements clearly display the atomically resolved unit cell and the small buckling of the silicene honeycomb structure. Similar to the carbon atoms in graphene, each of the Si atoms has three nearest and six second nearest neighbors, thus demonstrating its dominant sp2 configuration. Our scanning tunneling spectroscopy investigations confirm the metallic character of the deposited silicene, in excellent agreement with our band structure calculations that also exhibit the presence of a Dirac cone [1]. Ex situ Raman spectroscopy maps evidenced surface areas with the coexistence of 520 cm-1 and 530 cm-1 peaks, ascribed to sp3 Si nanoclusters and sp2 silicene, respectively. In some other regions only a peak around 530 cm-1 is observed. Calculations are in progress to deeper interpret these results. [1] M. De Crescenzi et al., ACS Nano 2016, 10, 11163.

P.3.4
 
Si-Photovoltaics: Carrier Selective Contacts : Chairs: N. Usami, Z. Holman
16:00
Authors : Stefan W. Glunz
Affiliations : Fraunhofer Institute for Solar Energy Systems, Freiburg, Germany

Resume : Traditional crystalline solar cells feature doped junctions like diffused phosphorus emitters or alloyed aluminum back surface fields. Such technologies are well-understood and robust in mass production. However, since the increased doping level within the silicon absorber increases the level of intrinsic charge carrier recombination, i.e. Auger recombination, this technology limits inherently the efficiency potential. Therefore, to allow cell efficiencies closer to the theoretical limit, it is beneficial to spatially separate the carrier separation from carrier generation. This concept is known as carrier-selective or passivated contacts. The best known example is the a-Si/c-Si heterojunction as used by Sanyo/Panasonic or Kaneka successfully for their record cells. Also the highly efficient interdigitated back contact solar cells fabricated by SunPower are utilizing passivated concepts. At Fraunhofer ISE the TOPCon technology based on a thin tunnel oxide and a heavily doped recrystallized PECVD-deposited silicon layer has led to efficiencies of 25.3% for both-sides contacted solar cell. Recently, “silicon-free” approaches based on metal oxide layers like MoOx have shown a very high potential. This talk will give an overview over the different available technologies.

P.4.1
16:30
Authors : Yong Liu, Weiyuan Duan, Malte Köhler, Manuel Pomaska, Do Yun Kim, Kaining Ding
Affiliations : IEK5-Photovoltaik, Forschungszentrum Jülich, Germany

Resume : Silicon heterojunction (SHJ) solar cells are one of the most promising candidates for the next-generation high-efficiency (>25%) mainstream photovoltaic technology. Conventionally, doped amorphous silicon (a-Si:H) thin-films are used as emitters or back surface field (BSF). Nanocrystalline silicon thin-film materials (nc-Si:H, nc-SiOx:H and nc-SiC:H) are very promising alternatives that fit well in a SHJ solar cell due to their unique material properties. For doped nanocrystalline silicon (nc-Si:H), it is considered a more advantageous alternative to the amorphous emitter and BSF layers due to higher conductivity, which lowers series and contact resistance. For nanocrystalline silicon oxide (nc-SiOx:H) and silicon carbide (nc-SiC:H), they can be used as a superior window layer or back reflector due to their wide bandgap and low refractive index. In this contribution, we use catalytic-doping (Cat-doping), a novel post-deposition doping method based on hot wire chemical vapor deposition, to get higher conductivity than those achievable from as-grown thin-films. As-grown doped nanocrystalline silicon thin-films are further doped within a shallow depth of few nanometers during the Cat-doping process leading to conductivity increase and surface field passivation. We systematically varied the Cat-doping parameters and correlate the effectiveness of Cat-doping process to the microstructure of the three aforementioned materials to gain deeper insight into the doping mechanisms.

P.4.2
16:45
Authors : Josua Stuckelberger (1), Philippe Wyss (1), Iris Mack (1), Gizem Nogay (1), Quentin Jeangros (1), Jörg Horzel (2), Christophe Allebé (2), Matthieu Despeisse (2), Franz-Josef Haug (1), Philipp Löper (1), Christophe Ballif (1,2)
Affiliations : (1) Ecole Polytechnique Fédérale de Lausanne (EPFL), Institute of Microengineering (IMT), Photovoltaics and Thin-Film Electronics Laboratory(PV-Lab), Neuchâtel, Switzerland (2) SwissCenter of Electronics and Microtechnology (CSEM), Neuchâtel, Switzerland

Resume : High temperature stable passivating contacts, which consist of a stack of a SiOx buffer layer and a doped Si layer placed between the Si wafer and the metallization, have shown great potential to increase the conversion efficiency of Si solar cells. In this paper, we investigate phase-separated Si/SiOx layers as a replacement for the conventional doped Si thin film, targeting an increased transparency for solar cell front side application. The phase-separated SiOx layer, which consists of a SiOx matrix with Si filaments extending through almost the entire layer, is prepared by PECVD and thermal annealing. To make the layer stack carrier selective, the phase separated layer is doped with either Phosphorus or Boron, which diffuse into the Si wafer during the annealing step. TEM is used to reveal the phase-separated nature of the layer, which involves vertically oriented Si-rich phases in both the as-deposited and the annealed samples. We will discuss the influence of layer composition and doping on electrical transport, passivation behavior and its transparency. Thanks to this phase-separated nature, a contact resistivity of 26.5 mΩ cm2 could be reached with an emitter saturation current density of 23.6 fA cm-2 for an n+/n-junction. As a proof of concept, a hybrid cell employing the novel front contact on a flat substrate with a heterojunction i/p amorphous silicon back side demonstrates a Voc of 691.3 mV and a fill factor of 78.1% leading to a conversion efficiency of 18.3%.

P.4.3
17:00
Authors : Joe V. Carpenter III, Peter Firth, Jianwei Shi, Allison Boley, David Smith, and Zachary Holman
Affiliations : Joe V. Carpenter III, Materials Science and Engineering, Arizona State University, Tempe, AZ, 85287, US; Peter Firth, Electrical Engineering; Jianwei Shi, Electrical Engineering; Allison Boley, Physics; David Smith, Physics; and Zachary Holman, Electrical Engineering

Resume : Amorphous silicon/crystalline silicon heterojunction (SHJ) solar cells are high efficiency, production line solar cells produced by PanasonicTM, which currently holds the record silicon cell efficiency of 25.6%. There is room for improvement because production line cells are still near 20% efficiency, and any improvement will spur further growth in efficiency and thus savings. SHJ cells use hydrogenated amorphous silicon (a-Si:H) to reduce recombination of excited electrons at the crystalline silicon (c-Si) surface. An unfortunate side effect is that the emitter (oppositely doped to the c-Si region) must also be a-Si:H. The a-Si:H absorbs light that is not converted into electricity. In solar cells, carriers excited by light in the absorber layer (c-Si) are extracted more efficiently than in any other layer in the device. When light is absorbed by other cell layers, cell performance is degraded, leading to a phenomenon called “parasitic absorption.” Parasitic absorption accounts for about 8% current loss for high efficiency SHJ cells. Most of the loss is from parasitic absorption of light below 600 nm by the emitter layer (a-Si:H). In addition to strong parasitic absorption, a-Si:H exhibits poor doping as demonstrated by Alpuim et al. To account for the poor doping, the layer must be thicker to form an effective emitter and thus parasitic absorption increases from the thickness. We replace this a-Si:H film with hydrogenated microcrystalline silicon (μc-Si:H) epitaxially grown from silicon nanoparticles (SiNPs). We synthesize SiNPs with a non-thermal radio frequency (RF, 13.56 MHz) plasma tool that dissociates silane (SiH4). The SiNPs are collected directly onto the silicon substrate with intrinsic layer, a-Si:H(i). We then deposit boron-doped µc-Si:H with RF plasma enhanced chemical vapor deposition and complete the solar cell. We characterize the crystallinity with UV Raman spectroscopy, the optical response with external quantum efficiency, and cell performance with current-voltage measurement.

P.4.4
 
Si-Photovoltaics: Novel Materials : Chairs: S. Glunz, D. König
17:30
Authors : Noritaka Usami, Kazuma Takahashi, Jefferson Adrian Wibowo, Yoshihiko Nakagawa, Yasuyoshi Kurokawa
Affiliations : Graduate School of Engineering, Nagoya University

Resume : Orthorhombic barium disilicide (BaSi2) has high absorption coefficient and suitable bandgap (1.3eV) for single junction solar cells, and has been regarded as a promising candidate for an alternative absorber for solar cells. Importantly, all the constituent elements are earth-abundant and suitable for global deployment. Recent intensive studies on a-axis oriented BaSi2 on Si(111) grown by molecular beam epitaxy disclosed attractive properties such as wide control of conductivity by doping, long minority carrier lifetime/diffusion length, and electrically inactive domain boundaries. Furthermore, p-type BaSi2/n-Si heterojunction solar cell with ~10% conversion efficiency was reported, which confirms the potential of BaSi2. In this contribution, we review our recent research activities on growth and characterizations of BaSi2 on Si by putting emphasis on simple growth method based on thermal evaporation using BaSi2 granule as a source material. Although the growth method is simple, the fundamental growth process is rather complicated especially when one uses compound source materials such as BaSi2. Due to the vast difference in equilibrium vapor pressure, vapor composition would be Ba-rich especially at the initial stage of evaporation. Therefore, to realize stoichiometric BaSi2, depleting Si must be supplied from the underlying Si though diffusion, which requires enough high growth temperature. Epitaxial growth of BaSi2 on Si(111) is possible by two-step process control starting with sublimation of Ba from BaSi2 followed by melting BaSi2 source to provide large vapor flux of Ba-Si. Undoped BaSi2 shows n-type conductivity, and p-type BaSi2 can be realized by combining with pre-deposited highly p-doped Si. For example, p-type BaSi2 was achieved by thermal evaporation of BaSi2 on highly p-doped hydrogenated amorphous Si deposited on highly resistive Si or on highly p-doped polycrystalline Si on quartz substrate. This opens a possibility to realize various designs of solar cells including p-BaSi2/n-Si heterojunction solar cell, pn homojunction BaSi2 solar cell on glass, BaSi2/Si tandem cell, and so on.

P.5.1
18:00
Authors : Chao ZHAO, Rui-Qi PNG, Peter HO
Affiliations : National University of Singapore

Resume : To innovate cells from earth-abundant materials using eco-friendly processes, conducting polymers such as poly(3,4-ethylenedioxythiophene): poly(styrenesulfonic acid) (PEDT:PSSH) have been reported as alternative to p+ Si for hole collection in silicon solar cell. High power conversion efficiency >20% has been reported. Such polymeric materials are solution-processable and thus compatible with additive manufacturing processes. However, it has not been possible to systemically investigate the effect of such polymeric materials in detail. We report here a systematic study of work function of the conducting polymer layer (4.2eV to 5.8eV) on open-circuit voltage and fill factor for such hybrid silicon cells. We also show application of polymers as novel charged tunneling layer for enhanced surface passivation as confirmed by photoluminescence measurement.

P.5.2
18:15
Authors : Andrzej Gawlik, Janusz Bogdanowicz, Andreas Schulze, Jan Misiewicz, Wilfried Vandervorst
Affiliations : Imec, Kapeldreef 75, 3001 Leuven, Belgium KU Leuven, Department of Physics and Astronomy, Institute for Nuclear and Radiation Physics, Celestijnenlaan 200D, 3001 Leuven, Belgium Department of Experimental Physics, Faculty of Fundamental Problems of Technology, Wrocław University of Science and Technology, Wybrzeże Wyspiańskiego 27, 50-370 Wrocław, Poland; Imec, Kapeldreef 75, 3001 Leuven, Belgium; Imec, Kapeldreef 75, 3001 Leuven, Belgium; Department of Experimental Physics, Faculty of Fundamental Problems of Technology, Wrocław University of Science and Technology, Wybrzeże Wyspiańskiego 27, 50-370 Wrocław, Poland; Imec, Kapeldreef 75, 3001 Leuven, Belgium KU Leuven, Department of Physics and Astronomy, Institute for Nuclear and Radiation Physics, Celestijnenlaan 200D, 3001 Leuven, Belgium

Resume : Understanding the interaction of light with semiconducting nanostructures is of utmost importance for various applications such as non-destructive metrology, laser annealing and photovoltaics. This interaction becomes complex for 3D nanostructures, leading to unexpected phenomena, like enhanced Raman scattering from nanoscale semiconducting fins or pattern-density-dependent laser annealing. In this work, we develop an analytical model describing the fundamental processes at play when light impinges on periodic arrays of nanoscale Si fin structures of varying pitch (i.e. spatial period). We show that, the coupling of light into such periodic nanostructures can be understood as a coherent superposition of two components. The first and dominant component is the light transmitted, confined in and guided by the fins. We discuss the impact of the fin geometry and fin pitch on the intensity of this first component and show that it can vary by a factor of 10 according to the geometrical conditions. The second component is the light scattered from the sidewalls of the fins. We describe the interference of both components as a function of fin pitch. Numerical finite-element simulations and experimental reflectivity measurements are used to validate the established model. The generated insight will be useful for a better understanding of optical metrology techniques when applied to 3D semiconducting nanostructures or for the design of photovoltaics with enhanced light transmission.

P.5.3
Start atSubject View AllNum.Add
 
Doping of Si-Nanostructures : Chairs: Y. Rosenwaks, Z. Holman
08:45
Authors : Martin Stutzmann, and Many Others
Affiliations : Walter Schottky Institut Technische Universität München Am Coulombwall 4 85748 Garching; and many Other Places

Resume : The balance of and the interaction between shallow dopants and deep defects is at the very heart of semiconductor physics and semiconductor devices. This is true for bulk semiconductors, but even more so for nanoscale devices and nanocrystals. As in many other respects, silicon nanocrystals have been the model system to study the influence of dopants and defects on the optoelectronic properties of single Si nanoparticles as well as extended Si nanoparticle networks. In this presentation, I will review and discuss experimental results obtained over the last decade concerning substitutional doping of Si nanocrystals via addition of dopants to the gas phase during deposition or via post-deposition laser treatment in liquid solutions of dopant atoms. Still unresolved issues concern the spatial arrangement of dopants inside the individual nanocrystals e. g. due to surface segregation or the auto-compensation of active dopants close to the nanoparticle surface by doping-induced deep defects as in amorphous silicon. A second aspect will be the spatial distribution of deep dangling bond defects by electron spin resonance in combination with chemical surface passivation and thermal annealing treatments. Finally, some remarks will be made about how the dopant and defect properties of individual particles will influence the macroscopic optoelectronic properties of extended nanoparticle networks.

P.6.1
09:15
Authors : Sebastian Gutsch1, Jan Laube1, Julian Lopez-Vidrier1, Margit Zacharias1, Keita Nomoto23, Daniel Hiller1, and Dirk König3
Affiliations : 1 Laboratory for Nanotechnology, IMTEK, University of Freiburg, Germany 2 The University of Sydney, Australia 3 University of New South Wales (UNSW), Sydney, Australia

Resume : Si nanocrystals (Si NCs) of 2-5 nm are studied as a model system for P doping. Theoretical studies imply that P doping of Si nanocrystals fails due to self-purification, increased formation energies of substitutional P-atoms, and increased ionization energies of donor electrons due to quantum- and dielectric confinement. Data from size-dependent atomprobe tomography measurements [1] is linked with respect to their effects on optical and electrical measurements. It appears that P impurity doping provides only a minute amount of ionisable carrier density [2] with a substantial increase in ionization energy with decreasing nanocrystal size. In contrast, varying concentrations of P lead to more or less linear photoluminescence intensity decrease. It is shown that this effect cannot be explained on the basis of a non-radiative center within the nanocrystals. X-ray absorption (XAS) measurements of the P-K edge in P doped SiO2 reveal a midgap defect center that is supported by density functional theory calculations [3]. We argue that these defect states may very well explain the PL-quenching behaviour. [1] Phys. Status Solidi RRL (2016), DOI: 10.1002/pssr.201600376 [2] Appl. Phys. Lett. 106, 113103 (2015) [3] Sci. Rep. 5, 09702 (2015)

P.6.2
09:30
Authors : Ray Duffy, Alessio Ricchio, Noel Kennedy, Dan O’Connell, Alan Hydes, Nikolay Petkov, Justin D. Holmes, Paul K. Hurley, Brenda Long
Affiliations : Tyndall National Institute, University College Cork; Lee Maltings, Cork, Ireland. Materials Chemistry and Analysis Group, Department of Chemistry, University College Cork, Cork, Ireland. AMBER@CRANN, Trinity College Dublin, Dublin 2, Ireland

Resume : In multi-gate field-effect-transistors the more gates you have, the greater the ability to make smaller devices that can switch on and off properly. In these devices surfaces dominate, as there are proportionately more atoms bound-to or located close to the surface. Issues that hinder performance in conventional thin-body devices include the crystal damage introduced by ion implantation, lack of dopant conformality, and dramatic dopant trapping at the oxide interface in plasma doped processes. Monolayer doping (MLD) is a promising approach as it is surface-based, whereby organic molecules are covalently bonded to the semiconductor surface at relatively low processing temperatures. A thermal treatment is then applied to diffuse dopant atoms into the semiconductor. Much of the MLD literature to date has been based on planar unpatterned substrates. There is now a pressing need to consider how suitable MLD can be for thin-body 3D semiconductor devices with high surface-to-volume ratios. In this work we fabricated top-down patterned Si nanowires to sub-10 nm line widths and with dense pitches in order to electrically evaluate MLD in scaled nanowire devices. Electrical data indicates that MLD is promising for tightly packed features. Furthermore we explored the influence of capping during the drive-in anneal, and the impact of the C from the organic molecules on device performance. Finally, our devices show ~10x higher current than published reports of Si nanowires doped by MLD.

P.6.3
09:45
Authors : S. Caccamo, G. Fisicaro*, A. La Magna and R.A. Puglisi
Affiliations : Consiglio Nazionale delle Ricerche, Istituto per la Microelettronica e Microsistemi (CNR-IMM), Strada Ottava 5, Zona Industriale, 95121 Catania, Italy, * University of Basel Department of Physics, Klingelbergstrasse 82 CH-4056 Basel, Switzerland

Resume : Silicon is the leading semiconductor material and dominates current micro/nanoelectronics industry. Nowadays a rapid advancement of technology has fueled a continual and urgent investigation for fabricating functional semiconductor nanomaterials. In this kind of nanostructures the conventional doping process has shown its limits such as high costs of equipments and their maintenance, crystal damage and necessity of multiple processing steps to achieve conformality. Recently, a new technique for controlled and conformal silicon doping for both bulk and nanostructures was reported [J. C. Ho et al., Nature Nanomater. 7, 62 (2008), R. A. Puglisi et al., Sol. En. Mat. Sol. Cells 132 (2015) 118]. It consists in a monolayer formation during the immersion of the sample in a solution containing dopant precursors and successive annealing to diffuse the dopant. In order to understand the contribution of the surface doped layer on the electrical properties a new method based on four probe point (4PP) on chemically etched samples (Chemical – 4PP) has been developed [Caccamo et al., MSSP 42 (2016) 200]. Here we present a study about the role of the cap layer on this kind of phenomena. Samples with and without cap layer were fabricated by molecular doping (MD) process and characterized by X-ray spectroscopy analysis (XPS), spreading resistance profiling (SRP) and C-4PP. The results will be discussed in terms of the evaporation and the diffusion of the molecules inside the Si substrate.

P.6.4
 
Group-IV Nanowires I : Chairs: E. Tutuc, W. Weber
10:30
Authors : S. Hofmann
Affiliations : Department of Engineering, University of Cambridge, United Kingdom

Resume : With a focus on diverse applications in the electronics and display industry, we aim at developing integrated process technology for nanomaterials, like semiconducting nanowires. In order to go beyond empirical process calibrations, we systematically use in-situ metrology to reveal the mechanisms that govern the growth, interfaces and device behaviour of these nanomaterials in realistic process environments. This talk will focus on recent results for Si and Ge nanowires with a particular emphasis on fundamental aspects and the use of these structures as model systems to explore more generic aspects of phase behaviour, nucleation and interface dynamics in nanoscale systems. Using in-situ TEM we can reveal striking new crystal growth behaviour driven partly by large supersaturations that open many new kinetic pathways [1]. We report on a new approach to form complex nanowire-heterostructures based on utilizing the catalyst particle not only to grow nanowires, as in the classic VLS process, but in an extended role, to independently nucleate different nanocrystals, whose formation and attachment in the liquid contribute to the high-quality nanocrystal/nanowire interface [2]. We also show how an electric field during growth can induce catalyst droplet deformation thus allow crystal growth control in various aspects [3]. [1] Gamalski et al, PRL 108, 255702 (2012) [2] Panciera et al., Nature Mat. 14, 820 (2015) [3] Panciera et al., Nature Comm. 7, 12271 (2016).

P.7.1
11:00
Authors : Yossi Rosenwaks, Alex Henning, Nandhini Swaminathan, Assaf Peled, Gideon Segev, Klimentiy Shimanovich
Affiliations : Faculty of Engineering, Tel-Aviv University, Tel-Aviv 69978

Resume : We present a new paradigm in nanowire based devices termed Electrostatically Formed Nanowires (EFN). The EFN is composed of a nanowire-like conducting channel that is not physically fabricated, but electrostatically formed post fabrication. The conductive channel is a doped silicon region surrounded by four gates: a back gate, two lateral junction gates, and a top dielectric that functions as a molecular gate. The size and shape of the EFN is defined and tuned by controlling the bias applied to the surrounding gates. We demonstrate the use of EFN for sensing of various gases including Ethanol, Acetone, and various n-alcohols and n-alkanes.[1] By electrically tuning the EFN diameter for a particular concentration range, the sensitivity as well as the overall dynamic range over which the sensor operates is highly enhanced; this tunable sensitivity is attributed to the nanowire size and shape controlled electrically.[2] It is also found, that in addition to polar target molecules, the EFN sensor is also capable of detecting non-polar alkanes, without any explicit additional surface treatment. The underlying mechanism responsible for the observed phenomena is attributed to interplay between the alcohol/alkane-silicon oxide interaction, induced surface EFN electric field and inherent molecular properties of our target species. We also demonstrate the application of the EFN to temperature sensing, multiple state transistors and other novel electronic devices. 1. N. Swaminathan, Alex Henning, Yonathan Vaknin, Klimentiy Shimanovich, Andrey Godkin, Gil Shalev, and Yossi Rosenwaks, “Dynamic Range Enhancement Using the Electrostatically Formed Nanowire Sensor”, ACS Sensors, DOI: 10.1021/acssensors.6b00096, (2016). 2. A. Henning, M. Molotskii, N. Swaminathan, Y. Vaknin, A. Godkin, G. Shalev, and Y. Rosenwaks, "Electrostatic Limit of Detection of Nanowire-based Sensors”, Small, DOI: 10.1002/smll.201500566, (2015).

P.7.2
11:30
Authors : M. J. Lo Faro1, A.A. Leonardi1-2-3, C. D’andrea1, P. Musumeci3, M A Iatì1, M. Galli4, G. Franzò2, F. Iacona2, P. Gucciardi1, C. Vasi1, F. Priolo2-3-5, B. Fazio1, A. Irrera1
Affiliations : 1 CNR-IPCF, Istituto per i Processi Chimico-Fisici, V.le F. Stagno D’Alcontres 37, 98158 Messina, Italy; 2 MATIS CNR-IMM, Istituto per la Microelettronica e Microsistemi, Via Santa Sofia 64, 95123 Catania, Italy; 3 Dipartimento di Fisica ed Astronomia, Università di Catania, Via Santa Sofia 64, 95123 Catania, Italy; 4 Dipartimento di Fisica, Università degli Studi di Pavia, via Bassi 6, 27100 Pavia, Italy 5 Scuola Superiore di Catania, Via Valdisavoia 9, 95123 Catania, Italy

Resume : Semiconductor nanowires (NWs) have attracted a considerable interest within the scientific community as innovative materials for applications in light sources, sensing and nano-scale photovoltaic devices. We demonstrate the synthesis of NWs by a cheap, fast and maskless approach compatible with Si technology, using metal-assisted chemical etching of Si substrates catalyzed by thin metallic layer. Using percolative Au layers that exhibit a fractal arrangement as the catalyst of the metal-assisted wet etching process, we achieved a 2D random fractal array of vertically aligned Si NW [1].Strong in-plane multiple scattering and efficient light trapping due to the fractal structure were observed [2]. NW sample shows a room temperature PL, related to the presence of quantum confinement effects. Light emitting devices based on Si NW, showing an efficient room temperature EL at low voltage, were also reported. Moreover, the occurrence of strong in plane multiple light scattering are responsible for an enhanced optical emission in terms of Raman scattering and photoluminescence, paving the way towards a new class of light emitting devices [3]. 1. Light: Science & Applications 5 (4), e16062, 2016 2. Nano Letters, 16 (7), pp 4181–4188, 2016 3. Nature Photonics, in press

P.7.3
11:45
Authors : M. Hývl, J. Červenka, J. Stuchlík, H. Stuchlíková, J. Kočka, A. Fejfar
Affiliations : Institute of Physics, Academy of Sciences of the Czech Republic, Cukrovarnická 10, 162 00 Prague 6, Czech Republic

Resume : Silicon nanowires (SiNWs) are one-dimensional building blocks that have been identified as important construction components for novel nanoelectronic and optoelectronic devices. Recently, it has been demonstrated that post-transition metal catalysts can yield fast and low-temperature growth of SiNWs in plasma-enhanced chemical vapour deposition (PECVD), allowing for example for construction of nanowire-based radial junction solar cells [1]. In this growth, however, only a very small fraction of nanoparticles gives rise to the NWs, while majority remains inactive. To understand what factors influence the nanowire nucleation from catalytic metal nanoparticles, we have developed a correlative microscopy method using atomic force microscopy (AFM) and scanning electron microscopy (SEM) images that allows visualization of individual nanoparticles before and after the growth. Here we present the results of a correlative microscopy study of the nucleation and early growth stages of Sn-catalyzed SiNWs in PECVD. This technique was applied on regular hexagonal arrays of tin nanoparticles prepared by nanosphere lithography on a silicon substrate. These were first characterized by topographical AFM measurement, detecting nanoparticles of various sizes from 3 to 20 nm. After the PECVD process, we performed SEM measurement of the same location using the set of micro-indents as orientation marks. This allowed us to assign the source nanoparticles to individual nanowires. Using this method, we have been able to identify the influence of several key parameters, such as the nanoparticle size, distribution and the ratio of the hydrogen/silane dilution in the growth plasma process, on the nucleation of the nanowires. [1] A. Fejfar et al., Sol. Energy Mat. Sol. Cells. 135 (2014), 106-112

P.7.4
12:00
Authors : A. Behroudj, A. Djaberi Dashtestani, M. Nilsen, S. Strehle
Affiliations : Ulm University, Institute of Electron Devices and Circuits, Albert-Einstein-Allee 45, 89081 Ulm, Germany

Resume : Bottom-up grown silicon nanowires are subject to intensive studies for about two decades with respect to their synthesis, their material properties and functional device fabrication. So far, most studies are exclusively focused on planar devices at which nanowires are assembled in field effect transistor configuration. A simple but effective strategy to assemble bottom-up grown nanowires and nanotubes in functional 3D sensor architectures is yet not established that could also potentially fulfill industrial requirements of high reproducibility, yield and low cost. In our approach, we use at first surface controlled contact printing of nanowires reported recently by our group to position single nanowires, with the original catalyst still intact, on a target substrate. Subsequently, the sample is re-inserted into the gas phase reactor to trigger a continuation of the original nanowire. Based on the wetting properties and gravity the new nanowire segment will grow away from the surface. While the regrown segment can be exploited as nanoscale electrode, e.g. for intra- and extracellular recordings, the original planar segment can be electrically integrated by conventional microfabrication techniques. Silicon nanowires were regrown from SiH4 using gold and platinum as catalyst materials in a vapour-liquid-solid and vapour-solid-solid reaction, respectively.

P.7.5
12:15
Authors : L. Rebohle, R. Wutzler, S. Prucnal, R. Hübner, R. Böttger, Y.M. Georgiev, A. Erbe, M. Helm, W. Skorupa
Affiliations : Institute of Ion Beam Physics and Materials Research, Helmholtz-Zentrum Dresden - Rossendorf, Bautzner Landstraße 400, 01328 Dresden, Germany

Resume : The integration of high-mobility III-V compound semiconductors emerges as a promising route for Si device technologies to overcome the limits of further down-scaling. In this work we investigate the possibilities to form InAs nanocrystals in a thin Si layer at laterally defined positions with the help of masked ion beam implantation and flash lamp annealing. In detail, after thinning of the device layer of a SOI wafer a cladding layer was deposited and patterned by electron beam lithography in order to serve as an implantation mask. The wafer was subsequently implanted with As and In, followed by flash lamp annealing leading to the formation of InAs nanocrystals in the implanted areas. The structures were investigated by Raman spectroscopy, scanning and transmission electron microscopy as well as energy-dispersive X-ray spectroscopy. Depending on the size of the implantation window, several, one or no nanocrystal is formed. Finally, the perspectives for using this technique for the local modification of Si nanowires are discussed.

P.7.6
 
Group-IV Nanowires II : Chairs: S. Hofmann, Z. Holman
14:00
Authors : Emanuel Tutuc; Feng Wen; David C. Dillen; Kyounghwan Kim
Affiliations : Microelectrics Research Center, Department of Electrical Engineering, The University of Texas at Austin

Resume : Semiconductor heterostructures have been a cornerstone for technological advances in the last five decades. In recent years, there has been a growing interest in one-dimensional heterostructures, such as core-shell nanowires, for applications in high-speed low-power logic, quantum computing, sensing, or as a platform for electron physics in one dimension. To take advantage of core-shell nanowire heterostructures requires a careful control of the epitaxial shell growth, and a fundamental understanding of the hetero-interface properties. We discuss here recent advances in the epitaxial growth of coherently strained group IV core-shell nanowire heterostructures, such as Ge-SixGe1-x of Si-SixGe1-x core-shell nanowires, as well as high performance field-effect transistors. We show that Raman spectroscopy combined with lattice dynamic theory can be used as a non-invasive tool to quantitatively probe the elastic strain in such core-shell heterostructures induced by the lattice mismatch between core and shell. By inserting a boron doping layer during the SixGe1-x shell growth, we demonstrate radial modulation doping in Ge-SixGe1-x core-shell nanowires. This technique leads to a mobility enhancement, allows a decoupling of the carrier transport between core and shell, and a quantitative determination of the band offset at the core-shell hetero-interface.

P.8.1
14:30
Authors : S. Strehle, S. Challinger, N. Hibst, I. Baikie
Affiliations : Ulm University, Institute of Electron Devices and Circuits, Albert-Einstein-Allee 45, 89081 Ulm, Germany; KP Technology, Burn Street, Wick Caithness, KW1 5EH, United Kingdom; Ulm University, Institute of Electron Devices and Circuits, Albert-Einstein-Allee 45, 89081 Ulm, Germany; KP Technology, Burn Street, Wick Caithness, KW1 5EH, United Kingdom

Resume : Silicon nanowires are frequently considered as potential building blocks for advanced nanoscale electronic devices and sensors. Silicon nanowires are here commonly configured as field-effect-transistors with emphasised superior surface to volume ratio. However the issue of mid-bandgap Fermi level pinning, as also known for bulk silicon, must be considered and effectively addressed. In principle, the Fermi level position at the semiconductor surface can be extracted from the electrical nanowire transistor characteristics. But this requires first sophisticated device fabrication comprising possible parasitic effects emerging for instance from an insufficient contact quality. In our contribution we show the contactless detection of the absolute surface Fermi level position in silicon nanowires determined by UV ambient photoelectron spectroscopy (APS) and contact potential difference (CPD) measurements. For the experiments an APS/CPD set-up from KP Technology was utilized allowing for photon energies of 3.5 to 6.9 eV. The silicon nanowires were synthesized by the well-established vapour-liquid solid method using SiH4 as silicon precursor and platinum and gold as catalyst materials in an in-house built gas phase reactor. Furthermore, B2H6 and PH3 were added for p- or n-type doping. Besides the method itself, effects of the growth conditions as well as of post-treatments, such as different oxidation scenarios, will be discussed.

P.8.2
14:45
Authors : D. Melkonyan*, **, C. Fleischmann **, L. Arnoldi*, **, A. Veloso**, J. Bogdanowicz**, R. J. H. Morris*, ** and W. Vandervorst *, **
Affiliations : * Instituut voor Kern- en Stralingsfysica, KU Leuven, Celestijnenlaan 200D, B-3001 Leuven, Belgium ** Imec vzw, Kapeldreef 75, Heverlee – 3001, Belgium

Resume : Downscaling of semiconductor device dimensions and the incorporation of more complex 3D architectures only increases the importance of identifying the 3D spatial distribution of the constituent atoms with atomic precision. Atom probe tomography (APT) has emerged as a very promising technique given its excellent 3D near-atomic spatial resolution. However, a number of obstacles still need to be overcome if accurate and reliable results are to be realized. In this presentation we demonstrate how this is achieved for the case of B doped Si nanowires (NW) used in gate-all-around FET applications. For the crucial step of site-specific sample preparation, we propose a combined focused-ion beam/wet-chemical method that minimizes the ion beam damage and enables an accurate selection procedure for the NW yielding an increased field of view. The latter allows the full NW volume (length and diameter) to be measured which has the significant advantage of increasing the measurement statistics. The accuracy and reliability of the B quantification is discussed, and compared with SIMS. We further highlight the interplay between the measurement parameters, atomic diffusion/retention and the spatial resolution, which can impair the measured B profile (location and quantification) by creating an artificial, non-homogenous lateral profile, or large interface width. Finally, we elucidate the origin of shape and dimensional artefacts or phase intermixing observed in APT data of complex structures.

P.8.3
15:00
Authors : Jiri Cervenka, Martin Silhavik, Martin Muller, Jiri Stuchlik, Ha Stuchlikova, Antonín Fejfar and Jan Kocka
Affiliations : Department of Thin Films and Nanostructures, Institute of Physics ASCR, v. v. i., Prague, Czech Republic

Resume : Silicon nanowires (SiNWs) are promising building blocks for the next generation of nanoelectronic and optoelectronic devices. SiNWs fabricated by bottom-up approaches have attracted significant amount of interest in recent years as they can be grown at various sizes, shapes and crystallographic orientations at very low processing temperatures. The growth of SiNWs, however, requires the use of a metal catalyst that often gets incorporated in the SiNWs in the form of metal impurities, which might negatively impact their electrical conductivity. Here we demonstrate controlled doping of SiNWs using specific post-transition metal catalysts directly in the growth in plasma-enhanced vapor deposition (PECVD). High resolution transmission microscopy and atomic chemical mapping methods are used to study the mechanism of the metal catalyst incorporation in SiNWs. We show that different types of metals and growth conditions result in different distribution of the metal atoms at the surface and in the bulk of the nanowires. Electrical transport measurements on individual nanowires reveal sufficiently high p-type and n-type doping in SiNWs induced by certain post-transition metals. Our study shows that this catalyst-induced doping of SiNWs provides an effective way for controlling dopant density and electrical conductivity in SiNWs and construction of linear and radial SiNW-based p-n junctions.

P.8.4
15:15
Authors : Junzhuan Wang, Fan Yang, Xiaolin Sun, Linwei Yu, Jun Xu, Yi Shi, and Kunji Chen
Affiliations : ational Laboratory of Solid State Microstructures/School of Electronics Science and Engineering/Collaborative Innovation Center of Advanced Microstructures, Nanjing University, 210093, Nanjing, P. R. China

Resume : Vertical Silicon nanowires can be fabricated by PECVD mothed catalized by Bi,Sn low-melting-point metal droplet.[1,2,3] By the in-situ doping effects and the morphology controling , the Si-NW PIN radial junction shows good light harvest performance and high conversion efficiency for the new generation of solar cells.[4] Implementing advanced photonic design in biometric retinal prosthesis could bring in new hope and opportunities in seeking therapy for retinal degeneration or disease. In a bio-mimic approach, we propose and demonstrate a radial tandem junction (RTJ) structure, with coaxially stacking hydrogenated amorphous silicon (a-Si:H) PIN thin film layers constructed over vertical silicon nanowires, to achieve a self-powered photovoltaic response to wavelength ranging from 350 nm to 750 nm that matches perfectly well with the human vision spectrum. Remarkably, an enhanced color sensing and distinguishing capability, that usually requires collaboration of multiple cone or rod cells in human retina, can be accomplished in a single RTJ unit, thanks to a tunable, wavelength-dependent and spatially-distributed light incoupling and absorption in the cavity-like photonic nanostructure. These results highlight an exciting new approach or opportunity in combining natural biometric structure and advanced artificial photonic design to establish self-powered and filter-free color-distinguishable sub-retinal photoreceptors, as a promising candidate for alternative retinal prosthesis. [1] Bi-Sn alloy catalyst for simultaneous morphology and doping control of silicon nanowires in radial junction solar cells,Zhongwei Yu, Jiawen Lu, Shengyi Qian, Soumyadeep Misra, Linwei Yu, Jun Xu, Ling Xu, Junzhuan Wang, Yi Shi, Kunji Chen, and Pere Roca i Cabarrocas,Applied Physics Letters 107, 163105 (2015) [2] Full potential of radial junction Si thin film solar cells with advanced junction materials and design, Qian, Shengyi; Misra, Soumyadeep; Lu, Jiawen; Yu, Zhongwei; Yu, Linwei; Xu, Jun; Wang, Junzhuan; Xu, Ling; Shi, Yi; Chen, Kunji; Roca i Cabarrocas, Pere, Applied Physics Letters, 107, (2015) [3] In-Plane Epitaxial Growth of Silicon Nanowires and Junction Formation on Si(100) Substrates Linwei Yu, Mingkun Xu, Jie Xu, Zhaoguo Xue, Zheng Fan, Gennaro Picardi, Franck Fortuna, Junzhuan Wang, Jun Xu, Yi Shi, Kunji Chen, and Pere Roca i Cabarrocas,Nano Letters, 14, 6469 (2014) [4] Understanding light harvesting in radial junction amorphous silicon thin film solar cells, Yu, Linwei; Misra, Soumyadeep; Wang, Junzhuan; Qian, Shengyi; Foldyna, Martin; Xu, Jun; Shi, Yi; Johnson, Erik; Cabarrocas, Pere Roca I, Scientific Reports, 4, pp 4357-4357 (2014)

P.8.5
15:30
Authors : Michele Amato, Thanayut Kaewmaraya, Alberto Zobelli, Maurizia Palummo, Riccardo Rurali
Affiliations : Centre de Nanosciences et de Nanotechnologies and Laboratoire de Physique des Solides, CNRS, Université Paris-Sud, Université Paris-Saclay, 91405 Orsay, France; Dipartimento di Fisica, Università di Roma Tor Vergata, Via della Ricerca Scientifica 1, 00133 Roma, Italy; INFN, Laboratori Nazionali di Frascati, Via E. Fermi 40, I-00044 Frascati, Italy; Institut de Ciència de Materials de Barcelona (ICMAB−CSIC), Campus de Bellaterra, 08193 Bellaterra, Barcelona, Spain

Resume : Crystal structure and interface engineering are acquiring an increasing importance in nanoscience because of their enormous potential to conceive new properties and functionalities. In the case of nanowires (NWs), the emergence of new stable polytypes of common semiconductors promises to have an important impact in materials design [1]. Driven by this promising evidence, we use first-principles methods based on density functional theory and many-body perturbation theory to investigate the electronic and optical properties of hexagonal–diamond and cubic–diamond Si NWs as well as their homojunctions [2]. We show that hexagonal–diamond NWs are characterized by a more pronounced quantum confinement effect than cubic–diamond NWs. Furthermore, they absorb more light in the visible region with respect to cubic–diamond ones and, for most of the studied diameters, they are direct band gap materials. The study of the homojunctions reveals that the diameter has a crucial effect on the band alignment at the interface. In particular, at small diameters the band-offset is type-I whereas at experimentally relevant sizes the offset turns up to be of type-II. These findings highlight intriguing possibilities to modulate electron and hole separations as well as electronic and optical properties by simply modifying the crystal phase and the size of the junction. [1] H. I. T. Hauge, M. A. Verheijen, S. Conesa-Boj, T. Etzelstorfer, M. Watzinger, D. Kriegner, I. Zardo, C. Fasolato, F. Capitani, P. Postorino, S. Kölling, A. Li, S. Assali, J. Stangl, and E. P. A. M. Bakkers, Nano Lett. 15, 5855 (2015) [2] M. Amato, T. Kaewmaraya, A. Zobelli, M. Palummo, and R. Rurali, Nano Lett. 16, 5694 (2016)

P.8.6
15:45
Authors : L.E. Calvet, D. Querlioz
Affiliations : Centre de Nanosciences et de Nanotechnologies, CNRS UMR 9001, Univ. Paris-Sud, Université Paris-Saclay, C2N – Orsay, 91405 Orsay, France

Resume : The increasing amounts of data in modern society have sparked interest in cognitive computing to exploit this information and in bio-inspired architectures where computation and memory are integrated into the device building blocks for improved energy performance. Here we numerically investigate a novel memristive device using inputs from experiments. This synaptic transistor consists of a silicon nanowire connected to Schottky barrier source/drain electrodes and dressed with redox molecules embedded in an oxide. Gate pulses change the nonvolatile charge of the redox molecules, changing the device conductance, which is measured by applying a small Vds bias and is limited by design to thermionic emission or tunneling at the metal/semiconductor interfaces. The simulated device is small enough to exhibit flat-band conditions when the redox molecules are uncharged, which occur when the depletion regions at the source/drain are much larger than the channel length so that all carriers in the silicon channel are depleted. We explore transport as donor charges are added from the redox molecules. Without redox molecules, transport is dominated by thermionic emission at the p-type barrier (~ 0.2 eV), but as the redox concentration is increased this barrier is increased and the total current in the device is reduced. The total current is observed to vary over 7 orders of magnitude. We explore how these results can be used for the storage of analog synaptic weights, and describe how it can be placed into a neuromorphic architecture for cognitive computing.

P.8.7
16:30
Authors : Michael Kismann 1,2, Thomas Riedl 1,2, Jörg K.N. Lindner 1,2
Affiliations : 1. Paderborn University, Department of Physics, Warburger Straße 100, 33098 Paderborn, Germany 2. Center for Optoelectronics and Photonics Paderborn (CeOPP), Warburger Straße 100, 33098 Paderborn, Germany

Resume : Si nanopillars are attractive for energy conversion, energy storage and sensor applications, due to their large surface-to-volume ratio, unique light trapping and charge transport properties. Often, a controlled or narrow size distribution of the pillars is desirable. Nanosphere lithography and metal-assisted wet-chemical etching (MACE) are promising techniques for the low-cost, large-area fabrication of ordered nanopillar arrays having a good size homogeneity. In the present study self-arranged monolayers of hexagonally close-packed colloidal polystyrene nanospheres are formed on Si substrates and shrunk by reactive ion etching (RIE). The shrunk polystyrene beads are used as mask particles for a subsequent Au evaporation process, leading to Au films with hexagonally arranged circular openings of defined size and order. The Si underneath the Au film is locally removed by MACE using HF/H2O2 solutions, leaving hexagonally arranged vertical Si nanopillars at the position of openings in the Au film. SEM and TEM are used to study the size and morphology of nanopillars for initial sphere diameters between 110 nm and 618 nm and various MACE and RIE conditions, as well as the spatial distribution of Au. Si nanopillars with high area-densities (>3x1012 m-2), aspect ratios >6 and axially rippled sidewalls are obtained. The latter observation is attributed to the RIE process employed. The average pillar diameter shows only little deviation from the mean Au mask opening diameter.

P.9.1
16:30
Authors : G. Sandu(1), S. Melinte(1), and A. Vlad(2)
Affiliations : (1)Institute of Information and Communication Technologies, Electronics and Applied Mathematics, Université catholique de Louvain, 1348 Louvain-la-Neuve, Belgium; (2)Institute of Condensed Matter and Nanosciences, Université catholique de Louvain, 1348 Louvain-la-Neuve, Belgium.

Resume : Nanostructuration unfolds exciting vistas for the well-established Si industry. The exceptional properties of Si nanostructures can harness devices in the fields of electronics, photonics, energy conversion and storage. However, the synergies between the nanoscale properties and the target applications require precise tuning of the nanostructures such as morphology, size or crystallographic orientation. Metal assisted chemical etching (MACE) is preferred for the dimension-controlled fabrication of vertically aligned Si nanowires (SiNWs) with the crystallographic orientation inherited from the initial Si wafer. We detail on the versatility of MACE to fabricate morphology and orientation-customized SiNWs. The synthesis method uses p-type (100) Si with Au catalyst layers patterned by colloidal lithography. The etching proceeds in a mixture of HF and H2O2. By altering the etchant concentrations, we address three different etching regimes: porous <100>, solid <100> and solid <111>. Based on these regimes, several advanced etching schemes are experimented. For instance, alternating between porous and solid regimes offers porosity-modulated SiNWs. In addition, interrupting the etching in the solid <111> regime results in the formation of kinks along the major axis of the nanowires. The location of the kinks can be precisely controlled, while the kink’s angle is found to be dependent of the orientation of the previously etched segment. We further investigate the effect of the geometrical parameters of the patterned holey Au mask by evaluating different Au thicknesses (10, 15 and 30 nm) and different hole diameters (100, 120 and 150 nm). This study emphasizes the importance of MACE for the synthesis of advanced Si nanostructures.

P.9.2
16:30
Authors : A. Djaberi Dashtestani, N. Hibst, S. Strehle
Affiliations : Ulm University, Institute of Electron Devices and Circuits, Albert-Einstein-Allee 45, 89081 Ulm, Germany

Resume : Vertical arrays of silicon nanowires are discussed for a wide variety of nanoscale sensors for extra- and intracellular applications. Besides the overall performance, device fabrication must be accomplished in principle at low-cost and high yield to allow a wider utilization in real application scenarios. While conventional top-down fabrication, e.g. by dry etching of bulk silicon, is so far the most straightforward approach, the realization of an electrical readout of single-nanowire electrodes is still elaborate and challenging. Here we show and discuss, how single silicon nanowires can be grown directly at predefined locations in a bottom-up manner from a Pt-silicide conductor line. This strategy is fundamentally based on an exploitation of certain peculiarities of the platinum catalysed growth process as reported recently by our group. The formation of a Pt-silicide conductor line and the growth of electrically connected and vertically oriented silicon nanowires occurs simultaneously during a gas phase reaction at about 700°C in an in-house built reactor system using SiH4 as precursor gas. B2H6 was added in our process to obtain p-type doping and enhanced consequently the electrical conductivity. The confinement of the growth side was realized by local oxidation at room temperature of the original Pt conductor line. For this process, only photolithography was used avoiding complex and expensive techniques like electron beam lithography and focused ion beam techniques.

P.9.3
16:30
Authors : M. O. Williams, D. Hiller, A. Jervell, T. Bergfeldt, M. Zacharias
Affiliations : M. O. Williams; D. Hiller; A. Jervell; M. Zacharias from: Laboratory for Nanotechnology, IMTEK, Faculty of Engineering, University of Freiburg, Georges Köhler Allee 103, 79110, Freiburg, Germany. T. Bergfeldt from: Karlsruhe Institute of Technology, Institute of Applied Materials, Hermann von Helmholtz Platz 1, 76344 Eggenstein Leopoldshafen, Germany.

Resume : Metal-assisted chemical etching (MACE) of silicon can be performed using a number of different metal catalysts. Since the resulting silicon nanostructures appear to be dependent on the choice of metal, a deeper understanding of the interplay between metal species and other etching parameters is required. In our studies, we will show that the etching solution composition itself effects the stability of the catalysts over the course of an etch run. We will present direct evidence showing that silver enters the etch solution rather than remaining in its metal state, and thus complicates any attempts to control the catalyst-assisted etching process. An elemental analysis of post-MACE etch baths shows dissolved silver concentrations in most cases, and at levels up to 3 orders of magnitude larger than with comparable gold-based experiments. Furthermore, this large dissolved silver content appears to be caused by higher amounts of hydrogen peroxide (H2O2) in the baths, both as a function of the initial conditions and of the time-related decomposition of H2O2. We will also show evidence of unintended etching elsewhere on the silicon substrate, which is attributed to the dissolved silver in the solution. These species-dependent effects further reinforce those differences observed in literature, with regards to the kinds of nanostructures possible when using either silver- or gold-based MACE.

P.9.4
16:30
Authors : A. Talbi, A. Stolz, C. Boulmer-Leborgne, N. Semmar
Affiliations : GREMI-UMR 7344-CNRS-University of Orleans, 14 rue d’Issoudun, BP6744, 45071 Orleans Cedex2, France

Resume : Laser-Induced Periodic Surface Structures (LIPSS) formation on mesoporous silicon have been investigated following two laser regimes: picosecond (40 ps) and femtosecond (100 fs). Under UV irradiation (266 nm), the formation of LIPSS from nanoparticles by different mechanisms mainly coalescence and agglomeration has been evidenced by Scanning Electron Microscopy observations. The formation of a liquid phase during both laser interaction at low fluence (~20 mJ/cm2) and after a large number of laser pulses (~up to 10000) has been also shown. Transmission Electron Microscopy analyses have been conducted to investigate the molten phase structures below and inside LIPSS of 100 nm size. Furthermore, it is concluded that LIPSS are composed of amorphous silicon when mesoporous silicon is irradiated by laser beam in picosecond and femtosecond regime. Nevertheless mesoporous silicon located between LIPSS stays crystalline. In addition, periodic microstructures have been observed as typically spikes (pyramids) by employing high fluence up to 0.5 J/cm².

P.9.5
16:30
Authors : Zhaoguo Xue,1,2 Taige Dong,1 Zhimin Zhu,1 Yaolong Zhao,1 Wanghua Chen,2 Linwei Yu,*1,2 Junzhuan Wang,1 Jun Xu,1 Kunji Chen,1 and Pere Roca i Cabarrocas2
Affiliations : 1 National Laboratory of Solid State Microstructures/School of Electronics Science and Engineering/Collaborative Innovation Center of Advanced Microstructures, Nanjing University, 210093, Nanjing, P. R. China, E-mail : yulinwei@nju.edu.cn ; 2 LPICM, CNRS, Ecole Polytechnique, Université Paris-Saclay, 91128 Palaiseau, France E-mail : linwei.yu@polytechnique.edu

Resume : Soft and conformable wearable electronics require stretchable semiconductors[1]. To this end, self-assembly crystalline Silicon nanowire (c-SiNW) springs with high mechanical ductility and high carrier mobility, produced via a low temperature (<350 °C) thin film technology, are ideal building blocks. We here report a novel cyclic self-turning and twinning growth of mono-like zigzag SiNWs, steered by tin (Sn)-catalyst droplets that consume hydrogenated amorphous silicon (a-Si:H) thin film as precursor [2-5]. Interestingly, a unique twin-reflected interlaced crystal-domain structure has been identified for the first time within the zigzag SiNW springs, while in-situ growth and real-time scanning electron microscopy observations reveal a remarkable new twin-triggering mechanism that is the key dynamics leading to an automated zigzag advancement of in-plane SiNWs. Direct “stress-strain” testing demonstrates that a zigzag SiNW spring can be elastically stretched up to 12%. These results offer a new strategy of morphology control to engineer mono-like SiNW for high performance stretchable electronics. References 1. Highly stretchable polymer semiconductor films through the nanoconfinement effect,Jie Xu, Zhenan Bao,* et al., Science, 2017, 355, 59. 2. In-plane self-turning and twin dynamics renders large stretchability to mono-like zigzag silicon nanowire springs, Zhaoguo Xue, Linwei Yu,* et al., Advanced Functional Materials, 26, 5352–5359 (2016) 3. Engineering island-chain silicon nanowires via a droplet mediated Plateau-Rayleigh transformation, Zhaoguo Xue and Linwei Yu,* et al., Nature Communications 7:12836 (2016) 4. Heteroepitaxial writing of silicon-on-sapphire nanowires, Mingkun Xu, Linwei Yu*, et al., Nano Letters, 16, 7317 (2016) 5. Operating principles of in-plane silicon nanowires at simple step-edges, Mingkun Xu and Linwei Yu,* et al., Nanoscale 7, 5197 (2015)

P.9.6
16:30
Authors : K.A. Gonchar1,2, A.A. Zubairova1, V.A. Georgobiani1, L.A. Osminkina1,3, L.A. Golovan1, V.Ya. Gayvoronsky4, V. Sivakov5, V.Yu. Timoshenko1,3
Affiliations : 1Department of Physics, M.V.Lomonosov Moscow State University, Leninskie Gory 119991 Moscow, Russia; 2Theoretical Physics and Applied Mathematics Department, Ural Federal University, 19 Mira street 620002 Ekaterinburg, Russia; 3Bio-nanophotonics Laboratory, National Research Nuclear University “MEPhI” (Moscow Engineering Physics Institute), 31 Kashirskoe sh., 115409 Moscow, Russia; 4Institute of Physics of the National Academy of Sciences of Ukraine, 03680, Kiev, Ukraine; 5Leibniz Institute of Photonic Technology, Albert-Einstein-Straße 9 D-07745 Jena, Germany

Resume : Recent years scientific community attention has been transferred from porous silicon to arrays of Si nanowires (SiNWs) formed by metal-assisted chemical etching (MACE) due to high perfection of its crystal lattice and surface properties. The dependence of the linear and nonlinear optical properties of (SiNWs) on their structural properties was investigated. SiNWs had a strong scattering of light in the visible and infrared spectral range. Nonmonotonic dependence of the total reflectance of light from SiNWs on their length was discovered. It was found that in SiNWs the efficiency of frequency conversion of optical radiation, such as Raman scattering, coherent anti-Stokes Raman scattering and third-harmonic generation, were increased compared to c-Si substrates. Nonmonotonic dependence of the intensity of the interband photoluminescence in the range of 1100-1200 nm from SiNWs on their length was found. Usually in MACE hydrofluoric acid (HF) was used. But in this work we also tried to use green chemistry and HF was changed on NH4F. This procedure made MACE safer and environmentally friendly. All these results suggest similar optical properties of SiNWs obtained using green chemistry to the SiNWs obtained by the standard MACE method. It gives an opportunity to obtain high quality SiNWs for various applications in photonics and photovoltaics using green chemistry.

P.9.7
16:30
Authors : Yanguang Zhou, Ming Hu
Affiliations : Aachen Institute for Advanced Study in Computational Engineering Science (AICES), RWTH Aachen University, 52062 Aachen, Germany

Resume : Heat conduction in one-dimensional structures is one of the appealing fundamental thermal physics problems with enormous practical implications, for example Si nanowires (NWs) for solid-state thermoelectrics. Previous experiments have proved that the figure of merit (ZT) of Silicon nanowires (NWs) can be improved approximately 100 folders over its bulk counterpart, reaching a peak of 1 at 200 K. The significant reduction of the phonon thermal conductivity, which is caused by the strong phonon-boundary scattering, is found to be main reason for the huge improvement of ZT. Meanwhile, both experiments and theoretical models have well demonstrated that the thermal conductivity (κ) decreases with NW diameter (D) decreasing (dκ/dD>0), due to the enhanced phonon boundary scattering. However, when D continuously goes down to nanometer range, contradictory conclusions are drawn from previous studies even with the exactly same simulation method and model system, such as the κ ~ D dependence and the convergence vs. divergence of κ ~ length, a long debate of one-dimensional heat conduction in history. By carefully and systematically performing Green-Kubo equilibrium molecular dynamics simulations, we report that the κ of Si NWs does not diverge, but converges and increases steeply when D becomes extremely small ( ). The κ of the thinnest possible Si NWs reaches a super-high level that is as large as more than one order of magnitude higher than its bulk counterpart. The abnormally high κ and the negative relationship can be explained in terms of the dominant normal (N) process (energy and momentum conversation) of low frequency acoustic phonons that induces hydrodynamic phonon flow in the Si NWs without being scattered. With D increasing, the downward shift of optical phonons triggers strong Umklapp (U) scattering with acoustic phonons and attenuates the N process, leading to the regime of phonon boundary scattering ( ). The two competing mechanism result in nonmonotonic diameter dependence of κ with minima at critical diameter of 2 – 3 nm. Our results unambiguously demonstrate the converged κ and the clear trend of κ ~ D for extremely thin Si NWs by fully elucidating the competition between the hydrodynamic phonon flow and phonon boundary scattering.

P.9.8
16:30
Authors : Zhiyuan Tan, Zhengguang Hu, Chungang Guo, Xiaoling Wu,Guo-an Cheng, Ruiting Zheng
Affiliations : College of Nuclear Science and Technology, Beijing Normal University, Beijing 100875, China

Resume : Owing to their excellent biocompatibility and unique electrical, optical, magnetic, and thermal properties, silicon nanowire arrays (SiNWAs) have potential applications in cell capture, Li-batteries, thermoelectric devices, and photovoltaic cells. Due to the long-standing demand for thin SiNWAs, many efforts have hence been devoted to synthesize super thin SiNWAs. However, existing procedures are time and money consuming, and limit the possibility of large-scale fabrication. In this paper, bimetal nanoparticles are used as catalysts in metal assisted chemical etching for fabricating Si nanowire arrays with average diameters of less than 10 nm. We find that the alloy catalysts introduced by ion implantation are the key to obtaining thin nanowire. Furthermore, we find that the precisely controlling of bimetal catalytic film allows us to fabricate varies average diameters silicon nano wire arrays with aspect-ratio larger than 4500. Strong visible photoluminesces and blue-shift spectra indicate (SiNWAs) has an evident quantum confined effect, which makes them have potential applications in water splitting, photovoltaic, photoluminesces, thermo-electrics, and environment monitor.

P.9.9
16:30
Authors : Zhaoguo Xue;1 Taige Dong;1 Zhimin Zhu;1 Yaolong Zhao;1 Linwei Yu;*1,2 Junzhuan Wang;1 Jun Xu;1 Kunji Chen;1 and Pere Roca i Cabarrocas2
Affiliations : 1 National Laboratory of Solid State Microstructures/School of Electronics Science and Engineering/Collaborative Innovation Center of Advanced Microstructures, Nanjing University, 210093, Nanjing, P. R. China, E-mail : yulinwei@nju.edu.cn 2 LPICM, CNRS, Ecole Polytechnique, Université Paris-Saclay, 91128 Palaiseau, France E-mail : linwei.yu@polytechnique.edu

Resume : Crystalline silicon (c-Si) has been the fundamental semiconductor to modern microelectronics, but unfortunately a rigid and brittle bulk that allows little stretchability. We here propose a self-automated in-plane growth dynamics that has been explored to engineer regular zigzag silicon nanowire (SiNW) springs or unique island-chain SiNWs with periodic diameter modulated, in a single low temperature growth (<350 oC) taking in amorphous Si thin film as precursor [1-4]. In addition, based on a high-yield guided growth of in-plane SiNWs, highly stretchable SiNWs channels can be manufactured and transferred in batch to demonstrate an impressive stretchability more than >300%, while guaranteeing a robust electric connection/transport. We suggest that this highly stretchable SiNWs has an important application potential for developing a new generation of high performance, low-cost and scalable stretchable electronics. References 1. Engineering island-chain silicon nanowires via a droplet mediated Plateau-Rayleigh transformation, Zhaoguo Xue and Linwei Yu,* et al., Nature Communications 7:12836 (2016) 2. Heteroepitaxial writing of silicon-on-sapphire nanowires, Mingkun Xu, Linwei Yu*, et al., Nano Letters, 16, 7317 (2016) 3. In-plane self-turning and twin dynamics renders large stretchability to mono-like zigzag silicon nanowire springs, Zhaoguo Xue, Linwei Yu,* et al., Advanced Functional Materials, 26, 5352–5359 (2016) 4. Operating principles of in-plane silicon nanowires at simple step-edges, Mingkun Xu and Linwei Yu,* et al., Nanoscale 7, 5197 (2015)

P.9.10
 
Poster Session : Chairs: D. Hiller, D. König
16:30
Authors : Chafiaa YADDADEN
Affiliations : CRTSE Centre de Recherche en Technologie des Semi-conducteurs pour l’Energétique Division Couches Minces Surfaces et Interfaces

Resume : In The last years, nanostructures of materials, as the porous silicon nanowires (PSiNWs), have been used extensively studied for the development of several chemical, electro-chemical and biologic sensors, because of their physical and chemical characteristics. The PSiNWs present a unique property, like the biocompatibility and the multifunctional. The PSiNWs can be elaborated from lightly n-type (100) silicon substrate by Ag assisted chemical etching method. The obtained surfaces were grafted with organic functional groups; first, we proceed by the grafting of acid monolayer on hydrogenated PSiNWs surface by hydrosylilation reaction to form Si-C covalent bond. Then, a reactive ester is generated from the terminal acid groups and subsequently this activated surface is coupled with peptide containing amines by the formation of amide bond. This strategy is based on that used for the immobilization of biomolecules (DNA, proteins, antibodies ...) to elaborate biosensors [1-3]. At each step of the modification, the resulting surfaces were characterized by X-ray photoelectron spectroscopy (XPS). Different characterization techniques were used to investigate the resulting nanostructures, such as SEM, XPS, FTIR and electrochemical measurements. Finally, the obtained results can find application in low-cost and high efficiency porous silicon nanowires based applications were envisaged in environmental area. The obtained hybrid structure was tested as probe electrode to the electrochemical detection of mercury in solution.

P.9.11
16:30
Authors : Chien-Chao Huang, 1Wan-Ling Chu, 1Bai-Ting Cheng, 2Yu-Zen Tasi, and 1Chien-Neng Liao
Affiliations : National Nano Device Laboratories, Hsinchu 300, Taiwan 1Dept of Materials Eng. and Science, University of Tsing-Hua, Hsinchu, Taiwan 2Dept. of Electronic, University of Cheng-Shiu, Kaohsiung, Taiwan

Resume : Moving into the era of low-power applications, the development of low operation voltage device is to limit power dissipation from the leakage current. When the channel length of MOSFET scaled down to sub-5-nm node, Si and Ge/III-V channel materials need to face a scaling limit of about 25-nm in gate length, beyond which the performance drastically drops. In addition to, it is estimated that series resistance degrades the saturation current by 1/3 from that of ideal case. The resistance of accumulation will become more challenging at the reduced dimensions because of the short channel effect reduced by ultra shallow junction and the low carrier concentration caused by the limitation of solid solubility. In the work, two materials, a bismuth and tellurium, were studied to develop on fully compatible conventional CMOS process. At first, Bismuth (Bi) has the smallest effective mass (0.001 me) of all known materials. The small effective masses of Bi make it easy to observe the effects of quantum confinement. On theoretic prediction, the semimetal-semiconductor transition occurs at a Bi quantum nanowire width of 52.1-nm. And its quasi-crystal nanowire exhibits an incredible electron mobility of 76900 cm2/(V s). Secondly, a tellurium is a direct bandgap materials with 0.35eV. Its resistivity (5x10-3 Ohm-m) is far below the resistivity of silicon materials. All of them were deposited on Si substrate by PVD approach. In this work, the patterning size of nanowire could be scaled down less than 30-nm (to meet the critical transition 50-nm diameter) by Electron Beam Nano Injection Lithography (NInL) technique which is with maskless and photoresist-free characteristics. After integrating four major MOS modules as the nanowire materials, pattern process, thermal cycle and interconnect process, a 25-nm tellurium nanowire could be to meet the Rs < 1000 Ohm/sq target of resistivity.

P.9.12
16:30
Authors : F. de Santiago, J. E. Santana, A. Trejo, A. Miranda, L. A. Pérez, M. Cruz-Irisson
Affiliations : Instituto Politécnico Nacional, ESIME-Culhuacán, Av. Santa Ana 1000, 04430, Ciudad de México, México; Instituto Politécnico Nacional, ESIME-Culhuacán, Av. Santa Ana 1000, 04430, Ciudad de México, México; Instituto Politécnico Nacional, ESIME-Culhuacán, Av. Santa Ana 1000, 04430, Ciudad de México, México; Instituto Politécnico Nacional, ESIME-Culhuacán, Av. Santa Ana 1000, 04430, Ciudad de México, México; Instituto de Física, Universidad Nacional Autónoma de México, Apartado Postal 20-364, 01000, Ciudad de México, México; Instituto Politécnico Nacional, ESIME-Culhuacán, Av. Santa Ana 1000, 04430, Ciudad de México, México

Resume : Most of the toxic gases that can be harmful to humans are odorless and colorless. Hence, sensing devices are of the utmost importance for detecting the presence of these gases on the environment. Nanostructured materials provide wide surfaces that usually are highly sensitive, allowing the chemical detection of those species. However, theoretical characterization for these applications is still scarce. In this work, the gas sensing properties of silicon nanowires and porous silicon are compared, from calculations made using the density functional theory. The nanostructures were modeled on the [001] direction with {110} adsorbent surfaces according to the supercell scheme. All surfaces were passivated with hydrogen atoms. The adsorption of CO, CO2, NO, NO2, SO2 and HCN onto the nanostructure’s surface is analyzed through their adsorption energies and charge transfers; also, their electronic band structures and densities of states were obtained. The calculations indicate that the adsorption energies are smaller for the nanopore than for the nanowire. The band gaps of the nanostructure-molecule complexes depend on: the absorbed molecule, the quantum confinement and the geometry of the absorbent surface. This study would contribute to the fundamental understanding of molecular adsorption on nanostructures that in turn could lead to the development of nanoelectronic gas sensors. Acknowledgements: This work was partially supported by multidisciplinary projects 2016-1770 and 2016-1771, and project SIP-2017-0885. F. de Santiago and J. E. Santana would like to acknowledge the graduate fellowship from CONACYT.

P.9.13
16:30
Authors : L. Filali, Y. Brahmi, J.D. Sib, D. Benlekhal, Y. Bouizem, A. Kebab, L. Chahed
Affiliations : Department of physics, University of Oran1 Ahmed Ben Bella

Resume : We studied in this work, the adsorption of proteins on thin layers of amorphous silicon, depending on the surface conditions. We have a set of samples of sputtered silicon thin films, with different hydrogen concentration at the surface. Infrared spectroscopic analysis with the ATR method, ellipsometry and scanning electron microscopy revealed that the adsorption on the surfaces is enhanced on samples with highest hydrogen surface concentration. This result leads to the conclusion that the adsorption is caused by hydrogen bonds at the film / protein interface, which seems to be the dominant effect compared to surface roughness and wettability, which were found to decrease as hydrogen surface concentration increases.

P.9.14
16:30
Authors : Brenda Long, Noel Kennedy, Dan O’Connell, Alan Hydes, Nikolay Petkov, Paul K. Hurley, Justin D. Holmes, Ray Duffy
Affiliations : Department of Chemistry, University College Cork, Cork, Ireland. Tyndall National Institute, Lee Maltings, Cork, Ireland. CRANN & AMBER, Trinity College Dublin, Dublin 2, Ireland.

Resume : It has recently been highlighted that standard doping techniques for transistor fabrication are facing fundamental roadblocks as device sizes and pitches are being scaled to smaller and smaller dimensions. Monolayer doping (MLD) has been presented as an alternative to standard industrial techniques, such as hot ion implantation and has shown significant potential from the point of view of conformal and non-destructive dopant incorporation (the two main problems ion implantation is limited by). A significant body of work has been presented in the literature on the doping of planar unpatterned semiconductor substrates. However, very little effort has been focused on assessing the quality of these surfaces post- MLD processing. As MLD is designed with sub 10 nm technology in mind, surface roughness must be maintained well below 1 nm if it is to be deemed suitable for such structures. Presented here is an in-depth study of the impact of chemical processing on unpatterned planar silicon and on silicon nanowires arrays with varying lengths (100 – 3000 nm), pitches (20 – 1000 nm) and diameters (8 – 1000 nm). This materials study includes AFM, SEM and TEM data which highlights the potential and limitations of standard wet-chemistry MLD as an alternative doping technique. In-depth analysis will show that pitch scaling presents the biggest challenge. Preliminary data shows that this issue can be circumvented using vapour phase MLD processing.

P.9.15
16:30
Authors : S. Eswara, L. Yedra, J. -N. Audinot, T. Wirtz
Affiliations : Advanced Instrumentation for Ion Nano-Analytics (AINA), MRT Dept, Luxembourg Institute of Science and Technology, 41, rue du Brill, L-4422 Belvaux, Luxembourg

Resume : Modern high-performance semiconductors are designed at the nanoscale and their properties are tailored by controlling the dopant concentrations and distributions. To thoroughly understand the nanoscale phenomena of doped nanostructures, high-resolution high-sensitivity imaging techniques are indispensable. We will present our recent results [1] related to direct high-resolution imaging of dopant distribution (boron, phosphorous) in Si and other nanostructures obtained using SIMS based techniques including NanoSIMS. Furthermore, the ability to capture the spatial distribution of dopant with a high dynamic range (i.e. concentrations varying over several orders of magnitude) will be emphasized. For comparison, trace element imaging in other applications beyond semiconductors will also be presented in the context of correlative microscopy techniques such as Parallel Ion Electron Spectrometry (PIES) [2]. The potential of these powerful techniques to unravel device-relevant quantum phenomena in Si based nanomaterials will be highlighted with examples. [1] Kumar et al, SOLMAT, 160 (2017) 398–409, [2] Yedra et al, Sci. Rep. 6 (2016) 28705.

P.9.16
16:30
Authors : Hakan Karaagac1*, Elif Peksu1 , Hamed Behzad1, Sare Akgoz1, Mehmet Parlak2
Affiliations : 1 Department of Physics Eng., Istanbul Technical University, 34469, Maslak, Istanbul, Turkey. 2 Department of Physics, Middle East Technical University, 06800, Cankaya, Ankara, Turkey

Resume : Single phase CuIn0.7Ga0.3Se2 (CIGS) thin films were successfully deposited on glass substrates via a single stage thermal evaporation from a stoichiometric single crystal powder (Bridgman grown) of the same compound. X-ray photoelectron spectroscopy measurements revealed the existence of Cu- and Ga-rich surface of the as-grown CIGS thin films, which caused high optical reflection and metallic behavior in conduction for the as-grown films. Post-growth annealing carried out at different temperatures led to migration of the metallic atoms from the surface region into the bulk during the crystallization process, which subsequently caused a significant reduction in the reflection and a change in the mechanism of conduction, from metallic behavior to semiconducting behavior. From the photoconductivity measurements it was deduced that the deposited CIGS films demonstrated a drastic decrease in resistivity under different illumination intensities, which is essential for a material to be employed as an absorber layer for photovoltaic applications. In addition, the post-growth annealing effect on the morphology and structure of CIGS thin films was investigated by means of the atomic force microscopy and X-ray diffraction measurements, respectively. Results showed that there was a significant change in surface roughness as well as in degree of crystallinity of the films following the annealing process at different temperatures conducted under nitrogen gas flow. As a device application of the fabricated thin films, a prototype of a 3rd generation solar cell consisted of Si-nanowires decorated with CIGS thin film layer was constructed, which exhibited a promising photovoltaic behavior having open circuit voltage of 0.37 V even under a very weak light illumination of a halogen lamp.

P.9.17
16:30
Authors : Joaquim Puigdollers, Luis Guillermo Gerling, Gerard Masmitja, Eloi Ros, Raul, Perea,Isidro Martin, Pablo Ortega, Cristobal Voz, Ramon Alcubilla
Affiliations : Dept Enginyeria Electrònica. Universitat Politècnica Catalunya. Barcelona (Spain)

Resume : Transition Metal Oxides (TMOs) have been successfully used as a selective contact in Heterojunction (HIT) crystalline silicon solar cells. TMOs acts as an effective Hole Transport Layer (HTL), allowing electron transport and blocking hole carrier transport. Efficiencies as high as 20% were reported in n-type crystalline silicon using MoO3 as a HTL. Indium-Tin Oxide (ITO) is the standard material used as a Transparent Conductive layer (TCO) on top of the TMOs layer to improved carrier collection. Moreover, ITO acts as an excellent antireflection layer, diminishing optical reflections and increasing light absorption. Although ITO presents excellent optical and electrical properties, its manufacturing requires scarce rare elements and expensive technological processes, limiting their compatibility with large area, low cost solar cells. Therefore, alternative transparent electrodes with excellent opto-electrical performance are demanded. Recently, DMD (dielectric-metal-dielectric) structures has emerged as a prominent candidate to substitute ITO electrode in organic based devices. Different dielectrics and metals are currently be tested as the best options to fabricate DMD structures. Interestingly, some of the best DMD structures, in terms of their opto-electrical properties, were fabricated using TMOs as the dielectric layer. This fact opens the possibility to use TMO-based DMD structures in crystalline silicon solar cells, fulfilling two objectives. On one side, the use of TMOs layer in contact with the crystalline silicon will act as a selective contact, and, on the other hand, the DMD structure will serve as a replacement of the ITO layer. In this work, we study the optical and electrical properties of several a dielectric-metal-dielectric (DMD) structures based on TMOs. In particular, MoO3/Ag/MoO3, V2O5/Ag/V2O5 and WO3/Ag/WO3 configurations deposited by thermal evaporation on glass substrates were optimized in terms of optical transmittance and electrical conductivity. Finally, crystalline silicon HIT solar cells including optimized DMD structures were fabricated in order to test the properties of the DMD structure in a real solar cell device.

P.9.18
16:30
Authors : LUIGI ABENANTE
Affiliations : ENEA, Italian National Agency for New Technologies, Energy and Sustainable Economic Development

Resume : There is increasing interest in designing ultrathin Si solar cells with active layer thickness of a few micrometers because of their reduced cost of production compared to conventional devices. In such thin films, efficient light absorption is achieved with both broadband antireflection coatings and effective light-trapping structures. In the present work, we deal with light trapping. Light trapping depends on both internal reflectance and propagation angle, p, with respect to the device normal of the light crossing the device. The Lambertian limit for p is 60°. p can vary at each pass of light across the considered device. Depending on the capability of the front and rear surfaces to diffuse light rays, p=60° can be reached at the first, second, or third pass of light. In the present work, we show that all of these cases can be modeled in ultrathin Si solar cells by using the numerical simulation program PC1D provided that an optical diffusion model embedded in the program is taken into account. This diffusion model is activated when one or both surfaces are imposed to be optically diffusive. We show that the PC1D diffuse model underestimates light-generated currents at low device thickness. A simple correction procedure is though provided, which allows simulating the performance of Si solar cells with PC1D safely at any device thickness. If it is used according to the directions given in this work, PC1D can be a very useful tool in designing ultrathin Si solar cells.

P.9.19
16:30
Authors : Ergi Dönerçark (1,2), Zeynep Demircioğlu (2,3), Hisham Nasser (2), Engin Özkol (2), Raşit Turan (1,2,3)
Affiliations : 1 Department of Micro and Nanotechnology, Middle East Technical University, 06800, Ankara, TURKEY; 2 The Center for Solar Energy Research and Applications (GÜNAM), Middle East Technical University, 06800, Ankara, TURKEY; 3 Department of Physics, Middle East Technical University, 06800, Ankara, TURKEY.

Resume : The Silicon heterojunction (SHJ) solar cell concept is giving opportunity to fabricate solar cell with low-temperature processes. Panasonic was reported a new world?s record with 25.6% conversion efficiency for its HIT solar cell in 2014 with the help of reduction recombination and optical losses by using interdigitated back contacts and minimizing resistance losses. However, the breathtaking news had come in September 2016 from Kaneka Corporation with the new world?s highest conversion efficiency as 26.33% in a practical size (180 cm2) SHJ solar cell. The most critical fabrication part of SHJ solar is the deposition of a-Si:H layer. The effective lifetime after passivation by a-Si:H layer could reach higher values than 8ms. Plasma Enhanced Chemical Vapor Deposition methods is commonly used system in most of research laboratories to growth a-Si:H layer. The passivation quality of a-Si:H layer will investigated in terms of deposition parameters and the effect of hydrogen plasma formed right after growing a-Si:H layer on the passivation quality of a-Si:H will be stated under various parameters and conditions. Experimental The n-type FZ Si wafers with 1-3 ohm.cm resistivity are used as substrate for whole study. The wafers are cleaned by standard RCA cleanings recipes before deposition of a-Si:H layer. In GÜNAM laboratories, GÜNER cluster system gives opportunity to fabricate a-Si:H, nano-crystalline Si, micro-crystalline Si and SHJ solar cells without breaking vacuum in to successive depositions. For deposition of a-Si:H layer, Capacitively Coupled Plasma is used at 13.56MHz. The oxide of preliminarily cleaned wafers is removed by HF: HCl mixture with volumetric ratio 2%: 2:%. Then a-Si:h layer is growth on both side of wafers with various deposition parameters. The substrate temperature is set to 200ºC. The varying deposition parameters of a-Si:H layer are thickness of plasma power, deposition pressure and SiH4:H2 dilution ratio. For each set of production, the effective lifetime is measured by Sinton lifetime tester and FTIR measurements are done to observed decency of lifetime on defined parameters. The study done up to now, is formed the optimization part of a-Si:H layer in terms of effective lifetime. At this stage, higher than 3.5ms lifetime results has been achieved. The second part of study includes insertion of H2 plasma right after the deposition of a-Si:H layer. The idea is to passivate dangling bonds with hydrogen by H2 plasma. The density of states (Dit) located at the interface between a-Si:H and c-Si has a significant effect on SHJ solar cell performance as specially on open circuit voltage. Due to the high defect density on the interface (above 1011 cm-2eV-1), Voc value starts to decrease with respect to increase on the Dit. Hydrogenation of a-Si:H layer is crucial to decrease density of states and passivate dangling bonds. The experimental part exists with two different approaches. One is continuous hydrogen plasma without breaking the plasma of a-Si:H. To explain more explicitly, the deposition of a-Si:H is divided into three. After each 2 nm deposition a-Si:H layer, continuous H2 plasma is performed. Similar approach is done for discrete plasma which is done after each 2 nm deposition of a-Si:H layer. Both of the approach gives promising passivation quality with excellent control on the thickness of a-Si:H layer. The effective lifetime and FTIR results achieved in the optimization of a-Si:H layer and hydrogen plasma study will be presented in poster session.

P.9.20
16:30
Authors : Shota Nunomura, Isao Sakata, Koji Matsubara
Affiliations : Research center for photovoltaics, National institute of advanced industrial science and technology(AIST), Tsukuba, Ibaraki 305-8568, Japan

Resume : In silicon hetero-junction (SHJ) solar cells, electronic properties of the silicon surface passivation layer play important roles in device performance. Often, a 10nm-thick hydrogenated amorphous silicon (a-Si:H) is used as a passivation layer because of its excellent passivation. So far, the electronic properties of a-Si:H are well studied for relatively thick films (100~1um), however, those are not studied for a thin layer (<10nm). So, we study the electronic properties for such thin layer of a-Si:H, in terms of the gap state distribution and the carrier transport. We characterized the electronic properties of a-Si:H passivation layer using two methods: the constant photocurrent measurement (CPM) [1] and the optical pump-probe technique [2]. From CPM, we obtained the valence band tail distribution and Urbach energy that are closely related to the hole transport. Using the optical pump-probe technique, we characterized carrier trapping and the lateral carrier transport. We found that the Urbach energy and carrier trapping in the a-Si:H passivation layer were strongly dependent on the passivation layer thickness and growth conditions. Typical values of Urbach energy and trapped carrier density were found to be 60-100 meV and ~10^18cm-3, respectively, The lateral conductivity was found to be lowered by decreasing the passivation layer thickness. This work was supported by JSPS KAKENHI (Grant Numbers 24540546 and 15K04717) and New Energy and Industrial Technology Development Organization (NEDO). References : [1] S. Nunomura, I. Sakata, K. Matsubara, J. Non-Cryst. Solids 44, 436 (2016). [2] S. Nunomura, X. Che , and S. R. Forrest, Adv. Mater. 26, 7555 (2014).

P.9.21
16:30
Authors : Boyun Jang
Affiliations : Separation and Conversion Materials Laboratory, Korea Institute of Energy Research, 152 Gajeong-ro, Yuseong-gu, Daejeon, 305-343, Republic of Korea

Resume : Si-SiOx core-shell nanoparticles were granulated and subsequently coated with C, and their microstructures and electrochemical properties were investigated. Porous microspheres with diameters from 5 ~ 20 um were obtained by spray drying process, and there was no microstructural variation after 30 min’s C-coating by conventional chemical vapor deposition process. The porosity was originated from necking between Si nanoparticles by SiOx shells. The porous microstructure plays an important role especially of buffering against volumetric changes during charge/discharge. While the nanoparticles exhibited higher than 100 % of volumetric expansion after 50 cycles, the porous microsphere showed only 30 % for the same conditions. All the electrochemical properties such as initial reversible capacity, initial columbic efficiency, and capacity retention were not changed before and after granulations. The enhancement of mechanical buffering without change of electrochemical properties could be explained by efficient expansion and formation of solid electrolyte interface in porous microstructures during the first lithiation. The reversible capacity and columbic efficiency were 1382 mAh/g and 75 %, respectively after the first lithiation.

P.9.22
16:30
Authors : N. Zayyoun1,2, T. Pingault1, P. S. Pokam-Kuisseu1, E. Ntsoenzok1,3, J-P. Blondeau1,3
Affiliations : 1 CEMTHI - CNRS, Site Cyclotron, 3A rue de la Férollerie, 45071 Orléans, France 2 LCS, Faculty of Sciences, Mohammed V University, Rabat, Morocco 3 Université d’Orléans, Château de la Source, 45100 Orléans, France

Resume : Effect of the thermal budget on the thickness of ultra-thin silicon layers detached by Kerf-Free approach: Stress-induced cleavage guided by low-energy hydrogen implantation N. Zayyoun1,2, T. Pingault1, P. S. Pokam-Kuisseu1, E. Ntsoenzok1,3, J-P. Blondeau1,3 1 CEMTHI - CNRS, Site Cyclotron, 3A rue de la Férollerie, 45071 Orléans, France 2 LCS, Faculty of Sciences, Mohammed V University, Rabat, Morocco 3 Université d’Orléans, Château de la Source, 45100 Orléans, France Silicon wafers are the most commonly used substrates for solar cell production. Currently, one of the easiest kerf-free wafering techniques is based on the cracks generated by thermo-mechanical stress between the silicon and an attached layer. However, the detached wafers are rough and their thickness is inhomogeneous and difficult to control. In this work, low-energy hydrogen implantation induced defects are used in order to guide the crack and control the exfoliated thickness. This kerf-free technique is a potential candidate for cost effective solar cells. In our experiments, (111) silicon wafers were implanted with low-energy and relatively high fluence hydrogen and then annealed at different thermal budgets. We then glued by epoxy adhesive (araldite glue) these wafers on a metal layer. Upon cooling down by dipping in liquid nitrogen, the coefficient of thermal expansion (CTE) mismatch between the metal, the glue and the silicon induces a high stress field which leads to the detachment of an ultra-thin silicon layers with different thicknesses. Using a variety of experimental techniques, we have measured the depth distribution of the implantation damage, the crystallinity, the surface roughness and the thickness homogeneity as functions of the annealing thermal budget. The stress-strain distribution into the silicon layer after the bonding was also studied by using finite element method modeling.

P.9.23
16:30
Authors : Sang Won Kim, Minsu Seol, Yeonchoo Cho, Dongwook Lee, Keonwook Shin, Seongjun Park, Hyeon-Jin Shin
Affiliations : Samsung Advanced Institute of Technology (SAIT), Samsung Electronics, 130 Samsung-ro, Yeongtong-gu, Suwon-si, Gyeonggi-do, 443-803, Republic of Korea

Resume : Graphene is a crystalline allotrope of carbon in the form of 2-dimensional, hexagonal network comprising sp2 configuration. Due to its unique properties including high electronic and thermal conductivities, excellent mechanical strength, and impermeability to gases, graphene has become one of the most investigated nanomaterials.[1,2] Various application have been suggested, including flexible electronics, optoelectronic devices, and energy devices. [3-5] We focused on the high chemical stability of graphene, which were originated from its strong intra-layer bonding and no dangling bond in the basal plane. We suggest a new application of graphene as an intermediate resist for patterning process in Si technology. Downscaling of the device has been one of the serious issues in the integration of semiconductor devices, in order for increasing chip density. As feature sizes have diminished, direct pattern transfer from typical photoresist can no longer attain fine patterns with high resolution, owing to the poor etch selectivity. The high etch resistance of graphene derived from its excellent mechanical property and chemical inertness allows fine patterns with high aspect ratio when using it as an intermediate resist during pattern transfer. In this talk, we will cover and discuss the possibility of Graphene as an intermediate resist in manufacturing Si devices [1] Y. Zhu, S. Murali, W. Cai, X. Li, J. W. Suk, J. R. Potts, R. S. Ruoff, Adv. Mater., 22 (2010) 3906 [2] M. J. Allen, V. C. Tung, R. B. Kaner, Chem. Rev., 110 (2010) 132 [3] P. Avouris, Z. Chen, V. Perebeinos, Nature Nanotech., 2 (2007) 605 [4] Q. Bao, K. P. Loh, ACS Nano, 6 (2012) 3677 [5] Y. Sun, Q. Wu, G. Shi, Energy Environ. Sci., 4 (2011) 1113

P.9.24
16:30
Authors : Alberto Debernardi, Luigi Marchetti
Affiliations : CNR-IMM, sede Agrate Brianza, via Olivetti 2, I-20864, Agrate Brianza, Italy; CNR-IMM, sede Agrate Brianza, via Olivetti 2, I-20864, Agrate Brianza, Italy, Universitá degli studi di Milano, via Celoria 16, I-20133, Milano, Italy

Resume : Silicene and Germanene, two honeycomb crystal structures composed of a mono-layer of Si and Ge respectively, combine the advantages of the 2D ultimate-scaled electronics, with their compatibility with industrial processes presently based on Si and Ge. We envisage pseudomorphic lateral heterostructures based on ribbons of Silicene and Germanene, which are the 2D analogous of conventional 3D Si/Ge super-lattices and quantum wells. In spite of the considerable lattice mismatch (~4%) between free-standing Silicene and Germanene, our ab initio simulations predict that, considering striped 2D lateral hetero-structures made by alternating Silicene and Germanene ribbons of constant width, the Silicene/Germanene junction remains pseudomorphic -- i.e. it maintains lattice matched edges -- up to a critical ribbon widths that can reach some tens of nanometers. Such critical widths are one order of magnitude larger than the critical thickness measured in 3D pseudomorphic Si/Ge heterostructures, and than the resolution of state-of-the-art lithography, thus enabling this technique to pattern Silicene/Germanene junctions. We computed how the strain produced by the pseudomorphic growth modify the crystal structure and electronic bands of the ribbons, providing a mechanism to engineer the population of high mobility carriers within the Dirac cone. Our results pave the way to lithography patterned lateral heterostructures that can constitute the building blocks of the novel 2D-electronics.

P.9.25
16:30
Authors : 1Bai-Ting Cheng, 2Yu-Zen Tasi, and Chien-Chao Huang
Affiliations : National Nano Device Laboratories, Hsinchu 300, Taiwan 1Dept of Materials Eng. and Science, University of Tsing-Hua, Hsinchu, Taiwan 2Dept. of Electronic, University of Cheng-Shiu, Kaohsiung, Taiwan

Resume : To improve CMOS device performance, stress engineering had been proved to be critical in improving the device performance. In this work, the selective heating technology (SHT) could be only heated on metal materials. The SHT utilizes an induction heater with a high frequency and an alternating magnetic field to generate the eddy currents on the metallic film for producing what is known as the skin effect. Heat is then generated by Joule heating because of the electrical resistivity. When many voids existed on the 150 nm trench with a 90 nm pitch of low-k dielectric materials to deposit 700 nm PVD Aluminum film, the cross-section TEM and SEM images indicated these void disappeared due to Al reflow phenomenon after optimizing the power parameter of SHT annealing process. Further, the technique was selectively and directly heat on the metal layer to form the process induced stress caused by the different thermal expansion coefficient between metal and non-metal materials or by the volume change. The drain current of n-MOSFET had been enhanced by 4%. The performance enhancement deduced that the dielectric cap layer could constrain the volume change of z direction and increase the tensile strain of channel direction during silicide phase transformation with SHT process.

P.9.26
16:30
Authors : E. Mohammadi, N. Manavizadeh
Affiliations : Faculty of Electrical Engineering, K. N. Toosi University of Technology, Tehran, Iran

Resume : Ion sensitive field effect transistor (ISFET) is an attractive candidate for biosensing applications due to advantages such as label-free sensing, CMOS manufacturing compatibility and etc. The sensitivity of such devices have been forced by Nernst limit to 59 mV/pH. Recently, dual-gated (DG) ISFET has been introduced to beat this limit by amplifying the threshold voltage shift. The amplification ratio depends on geometrical parameters of SOI wafer i.e. top oxide, back oxide and Si channel thicknesses. On the other hand, a new class of field effect devices called field effect diodes (FEDs) has shown promising performance and characteristics in comparison with MOSFET as a digital switch. Higher speed, lower power consumption, higher Ion/Ioff ratio and suppressing short channel effects are of FED advantages over MOSFET. Here, we have proposed side-contacted FED (S-FED) in a new role, as an ion sensitive device. Simulations were performed by the model developed in TCAD which mimics electrolyte/insulator interface interactions precisely. Results show that by applying a voltage to the fluid gate, the response of ion sensitive SFED (IS2FED) is the same as the conventional ISFETs. The new proposed structure, DG IS2FED, amplifies the threshold voltage shift by a factor of about 15, whereas amplification factor for DG ISFET is approximately 6 with the same geometrical parameters. In conclusion, DG IS2FED can be regarded as an interesting nominee in biosensing applications.

P.9.27
16:30
Authors : B. Jafari Tochaei, N. Manavizadeh, E. Mohammadi
Affiliations : Faculty of Electrical Engineering, K. N. Toosi University of Technology, Tehran, Iran

Resume : Side-contacted FED (S-FED), with low leakage current and high Ion/Ioff ratio, has been recently introduced to suppress short channel effects in nanoscale regime with various applications especially in high-speed digital circuit design. Since scalability is extremely important issue in digital design, the voltage and size scalability of S-FEDs and its effects on the power consumption (Pave), propagation delay time (tp), area, and power delay product (PDP) have been studied. Device and mixed-mode simulations have been performed on different channel length of 25, 45, 75 and 95 nm with multiple channel thicknesses 10, 20 and 50 nm while VDD varies from 0.4 to 1.2V. S-FED-based inverter has shown 56% and 30% reductions in Pave and PDP, respectively. The most attractive properties are related to channel length to channel thickness ratio (Lch/Tsi) in S-FED which significantly reduces in comparison with MOSFET and Ion/Ioff ratio of S-FED is at least 5 order of magnitude higher than that of MOSFETs and its SS reduces 49% at VDD=0.8V in the channel length and thickness of 25 and 10 nm, respectively. Although VDD scaling leads to significant increase in tp which reduces maximum output waveform frequency beyond 25 GHz but tp can be suppressed by a proper increase in the on-state current and regulation double gates work function. Comparable simulation studies show that S-FED has more advantage than MOSFET and make it possible to use in nanoscale logic devices in the future.

P.9.28
16:30
Authors : Elham Amini, Bernd Szyszka, Christian Boit
Affiliations : Technische Universität Berlin, Germany

Resume : Optical signal tracking techniques accessing the IC through chip backside have become the most successful hardware attack risks. A protection concept for the IC backside is missing yet. In this work we propose and realize a novel backside protection concept. In this technique, regular p-n junctions are used as LED-like light emitters. The light will spread into bulk silicon and reflected from back surface, reaching the active device level again where it can be detected by pn-junctions driven as photodiodes. The protective function comes in with an optically active layer deposited on the back surface that provides angle dependent reflectivity. It produces a specific signal pattern to a set of photodetectors in various distances from light source and with different angles of incidence at selected positions. Hence, the intensity of the reflected light will change, if the active layer is damaged or removed from the IC backside. Changes in the intensity of reflected light leads to detection of the attack. In this work Layers of ITO-Ag-ITO have been deposited on the back surface of a semiconductor device based on silicon as protection layer by magnetron sputtering process at room temperature and characterized by ellipsometry and ARTA spectroscopy. The protective function of a test structure is demonstrated with a clearly detectable difference in photodetector signals with and without the optically active layer. In this case, attack detection is performed by electrical measurement.

P.9.29
16:30
Authors : Julian López-Vidrier1, Sebastian Gutsch1, Margit Zacharias1, Keita Nomoto2,3, and Daniel Hiller1
Affiliations : 1 Laboratory for Nanotechnology, IMTEK, University of Freiburg, Germany 2 The University of Sydney, Australia 3 University of New South Wales, Sydney, Australia

Resume : We study P-doped Si nanocrystals (Si NCs) embedded in pure silicon oxide (SiO2) and oxynitride (SiON) matrices. In conventional plasma-enhanced chemical-vapor deposition (PECVD) processes for SiOx, SiH4 and N2O are used as precursor gasses, which inevitably leads to an incorporation of ~10 at.% of nitrogen in Si-rich oxides [1]. Alternatively, SiH4 and O2 can be used, which allows for completely N-free stoichiometric and Si-rich oxides [2]. In this work, we investigate the structural, optical and electrical properties of P-doped Si NCs in either pure oxide or oxynitride matrices. Atom probe tomography (APT) is used to investigate the incorporation of P-atoms into the Si NCs and the surrounding matrix [3]. In photoluminescence (PL) we observe a similar quenching effect of phosphorus in both matrices. However, from an electrical point of view, we measure different I-V curves that will be discussed in the context of effects induced by P-atoms and by nitrogen in the matrix. [1] A. Hartel et al., Thin Solid Films 520, 121 (2011) [2] J. Laube et al., J. Appl. Phys. 116, 223501 (2014) [3] K. Nomoto et al., Phys. Status Solidi RRL (2016), DOI: 10.1002/pssr.201600376

P.9.30
16:30
Authors : Dirk König(a,b), Sebastian Gutsch(b), Hubert Gnaser(c), Michael Wahl(c), Michael Kopnarski(d), Jörg Göttlicher(e), Ralph Steininger(e), Margit Zacharias(b), Daniel Hiller(b)
Affiliations : (a) Integrated Material Design Centre (IMDC), University of New South Wales, Sydney, Australia. (b) Laboratory of Nanotechnology, Dept. of Microsystems Engineering (IMTEK), University of Freiburg, Germany. (c) Department of Physics and Research Center OPTIMAS, University of Kaiserslautern, Germany. (d) Institute for Surface and Thin Film Analysis (IFOS) Ltd., Kaiserslautern, Germany. (e) ANKA Synchrotron Radiation Facility, Karlsruhe Institute of Technology, Germany

Resume : Up to now, no consensus exists about the electronic nature of phosphorus (P) as donor for SiO2- embedded silicon nanocrystals (SiNCs). Here, we report on hybrid density functional theory (h-DFT) calculations of P in the SiNC/SiO2 system matching our experimental findings. Relevant P configurations within SiNCs, at SiNC surfaces, within the sub-oxide interface shell and in the SiO2 matrix were evaluated. Atom probe tomography (APT) and its statistical evaluation provide detailed spatial P distributions. For the first time, we obtain ionisation states of P atoms in the SiNC/SiO2 system at room temperature using X-ray absorption near edge structure (XANES) spectroscopy, eliminating structural artefacts due to sputtering as occurring in XPS. K energies of P in SiO2 and SiNC/SiO2 superlattices (SLs) were calibrated with non-degenerate P-doped Si wafers. Ab−initio results confirm measured core level energies, connecting and explaining XANES spectra with h-DFT electronic structures. While P can diffuse into SiNCs and predominantly resides on interstitial sites [1], its ionization probability is extremely low, rendering P unsuitable for introducing electrons into SiNCs embedded in SiO2. Increased sample conductivity and photoluminescence (PL) quenching previously assigned to ionized P donors originate from deep defect levels due to P [2,3]. [1] H. Gnaser, S. Gutsch, M. Wahl, R. Schiller, M. Kopnarski, D. Hiller, M. Zacharias. J. Appl. Phys. 115, 034304 (2014) [2] D. König, S. Gutsch, H. Gnaser, M. Wahl, M. Kopnarski, J. Göttlicher, R. Steininger, M. Zacharias, D. Hiller, Sci. Rep. 5, 09702 (2015) {open access} [3] https://www.youtube.com/watch?v=ALC8DJ6ew2A&feature=youtu.be

P.9.31
16:30
Authors : Jan Laube1, Sebastian Gutsch1, Julian López-Vidrier1, Christian Kübel2, Margit Zacharias1
Affiliations : 1: Laboratory for Nanotechnology, Department of Microsystems Engineering (IMTEK), University of Freiburg, Georges-Koehler-Allee 103, 79110 Freiburg im Breisgau, Germany 2: Karlsruhe Nano and Micro Facility (KNMF) and Institute of Nanotechnology (INT), Karlsruhe Institute of Technology (KIT), Hermann-von-Helmholtz-Platz 1, 76344 Eggenstein-Leopoldshafen, Germany

Resume : Plane-view transmission electron microscopy (TEM) studies offer detailed insights on the structural properties and distributions of embedded Si nanocrystals (SiNCs). However, such studies are only rarely available [1-4]. Up to now, these studies were difficult to perform due to the high effort for sample preparation. Additionally, only SiNCs in a SiO2 matrix based either on nitrogen-containing [1-3] or nitrogen-free [4] PECVD processes were studied so far. In this study, we present a new approach enabling fast and efficient sample preparation using high-temperature stable Si3N4 membranes. On these membranes different PECVD films are directly deposited (oxide, oxynitride and nitride). Following the deposition process, an ultra-fast high-temperature annealing is performed. The ultra-fast annealing is required due to the very limited temperature budget.[3,4] Low doses TEM techniques are used to investigate the so formed SiNCs to ensure no artificial formation of silicon nanoparticles by radiation damage of the oxide films during the measurement.[3] The structural properties of SiNCs embedded in silicon nitride are compared to SiNCs in dioxide matrix. Finally a comparison of different exposure times is made and a threshold dose for artificial formation of SiNCs in SiO2 and Si3N4 matrices is given. [1] F. Iacona et al., JAP 95, 3723 (2004) [2] A. Yurtsever et al., APL 89, 151920 (2006) [3] S. Gutsch et al., Beilstein J. Nanotechnol. 6, 964 (2015) [4] J. Laube et al., APL 108, 043106 (2016)

P.9.32
16:30
Authors : Ø. Dahl*, A. Ulyashin**
Affiliations : *SINTEF Material and Chemistry, Trondheim, Norway **SINTEF, Material and Chemistry, Oslo, Norway

Resume : Solution based deposition of Si-nanoparticles (Si-NPs) is widely used for several applications. The major advantage of such approaches is the rapid large-area deposition under non-vacuum processing conditions. Furthermore, solution processing provides different low cost structuring and deposition techniques such as chemical bath deposition and inkjet printing. However, in this case it is necessary to remove residuals from the solvents after deposition of Si-NPs based layers using post-deposition treatments, which affects properties of the processed nanostructured layers. Oxidation of Si-NPs upon any processing is an important issue as well. Thus, development and implementation of vacuum based techniques, which can provide sintering and distribution of Si-NPs at the same time in a desirable manner, is of interest in many cases. In this work, an advanced method for fabrication of continuous Si-NPs based mono-layers on various substrates, based on e-beam deposition of ultra-thin Al and Si layers followed by Al-induced crystallization, is developed. It is shown that Si-NPs with diameters of tens of nm and heights of around 10 nm can be formed. No significant evolution in the surface morphology could be seen in case of anneals of Si-NPs based layers in Ar at temperatures from 200 °C to 700 °C. As an example of a possible application of the developed approach, an implementation of this method for the processing of Si-NPs based emitter in silicon heterojunction solar cells is discussed.

P.9.33
16:30
Authors : A. S. Azar (1), M. Vardavoulias (2), T. Halvorsen (3) , M. Moen (3), K. Mork (3), P. A. Carvalho (1), A. Ulyashin (1)
Affiliations : (1) SINTEF, Oslo, Norway (2) PYROGENESIS, Athens, Greece (3) RESITEC, Kristiansand, Norway

Resume : Thin Si layers deposited on various substrates by thermal spray have been analysed by several analytical methods: SEM, Raman, resistivity and in some cases minority carriers life-time measurements. The high-purity silicon particles were prepared by milling and sieving techniques and characterized for their morphology and size distribution using the direct particle measurement methods. The particles were then deposited on aluminium, ceramic and glass structures by thermal spraying technology to form a conformal and homogenous, up to 100 µm thick layer of silicon on the relevant substrates. It has been shown also, that free-standing Si powder based wafers can be fabricated using thermal spray approach. Extensive Raman spectroscopy analysis was performed on silicon structures fabricated by thermal spray before and after deposition to understand the effect of thermal cycles on the topology, homogeneity and crystallinity and state of the stresses in the material. It is established, that thermal spray of silicon layers can be considered as a promising method for fabrication of thin crystalline Si based layers on low-cost substrates using low-cost silicon powder as a feedstock. Perspectives of implementation of Si powder based structures for PV needs are discussed.

P.9.34
16:30
Authors : Stefano Ossicini, Ivan Marri, Marco Govoni
Affiliations : University of Modena and Reggio Emilia, Department of Science and Methods for Engineering (DISMI), via Amendola 2 Reggio Emilia, 42122, Italy; Centro S3, CNR-Istituto Nanoscienze, via Campi 213/a Modena, 41125, Italy; Institute for Molecular Engineering, the University of Chicago, 5747 South Ellis Avenue Chicago, 60637, Illinois (USA)

Resume : Carrier multiplication is a non-radiative recombination mechanism that leads to the generation of two or more electron-hole pairs after absorption of a single photon. By reducing the occurrence of dissipative effects, this effect can be exploited to increase solar cell performance. In this work we present a new theoretical tool to describe carrier multiplication dynamics in low dimensional systems. The tool will be described in detail and the results obtained for silicon nanocrystals in different environment will be presented.

P.9.35
16:30
Authors : K. Herynková, P. Šimáková, A. Fučíková, O. E. Cibulka, M. Hubalek Kalbáčová
Affiliations : Institute of Physics, Academy of Sciences of the Czech Republic, Cukrovarnická 10, 162 53 Prague 6, Czech Republic; Institute of Inherited Metabolic Disorders,1st Faculty of Medicine, Charles University, Prague, Czech Republic

Resume : Luminescing silicon nanoparticles are promising for intracellular biological research as luminescent markers or for the toxicity studies. We have prepared nanoclusters of hydrophilic highly porous silicon having the size around 100 nm, composed of nanocrystals ~2.5 nm in size, by electrochemical etching. Colloidal suspensions of the nanoparticles in steric organics (BSA, glutamic acid, dextran and glycine) were prepared to attempt to stabilize the nanoparticles and prevent agglomeration. Zeta potentials differed from sample to sample – water, BSA and glycine solutions have zeta potentials between -30 and -40 mV indicating good time stability of the solutions, while dextran (-9 mV) and glutamic acid (+4.5 mV) tend to agglomerate. Strong orange luminescence of nanoparticles is situated between 600 and 700 nm and remains stable in all used organic colloidal solutions. No significant influence on the nanoclusters’ toxicity was observed - dextran and BSA have no influence on cell metabolic activity, while glutamic acid showed a weak decrease of cell viability. Only in the case of glycine a significant decrease of the cell viability caused probably by mechanical stress induced by the aggregates covering the cells was observed.

P.9.36
16:30
Authors : A.V. Dvurechenskii1,2, A.F.Zinovieva1, V.A.Zinovyev1, A.V.Nenashev1, Zh. V. Smagina1, O. M. Borodavchenko3 V. D. Zhivulko3 and A. V. Mudryi3
Affiliations : 1Rzhanov Institute of Semiconductor Physics, Siberian Branch of the Russian Academy of Sciences, Lavrent'eva 13, 630090 Novosibirsk, Russia 2Novosibirsk State University, 630090 Novosibirsk, Russia 3Scientific-Practical Material Research Centre of the National Academy of Science, P. Brovki 19, 220072 Minsk, Belarus

Resume : In type-II Ge/Si heterostructures with Ge quantum dots (QDs) the holes are confined inside Ge and electrons are localized in three dimensional potential well induced by lattice mismatch inhomogeneous spatial distribution of elastic strain in Si matrix near the Ge/Si boundary. The variation of quantum dots arrangements leads to a strong variation of spatial distribution of elastic strain and dramatically change electron binding energy and wave function localization position on quantum dots. Besides the main localization site, at the QDs apex, another localization place reveals itself in the ESR experiments, at the base edges of Ge QDs. Luminescence properties of double layered Ge/Si quantum dot structures were studied depending on the Si spacer thickness d between layers and multi-layer heterostructures with incorporated fortress-like quantum dot molecules. Seven-fold increase of integrated photo-luminescence intensity was obtained for the structures with optimal thickness d = 2 nm. This enhancement is explained by increasing the overlapping integral between electron and hole wave functions due to two main factors 1) the electrons are localized at the QDs base edges and their wave functions are the linear combinations of delta valleys, which are perpendicular to growth axis [001]; 2) the arrangement of Ge nanoclusters in closely spaced QDs groups leads to the strong tunnel coupling between QDs and increasing the probability of hole finding at the QDs base edge. For the fortress-like quantum dot molecules the phononless radiative recombination mechanism in the molecules is found to be dominating. Photoluminescence signal from the SiGe quantum dot molecules is observed up to 300 K. The work was supported by RFBR (Grant 16-29-14031).

P.9.37
16:30
Authors : Chia-Ching Huang(1), Hui Nie(2), Jonathan Wilbrink(2), Jos MJ Paulusse(2), Katerina Dohnalova(1)
Affiliations : (1) Institute of Physics, University of Amsterdam, Science Park 904, 1098XH, Amsterdam, the Netherlands; (2) MIRA Institute, University of Twente, P.O. Box 217, 7500 AE, Enschede, the Netherlands

Resume : Quantum confinement effects in silicon nanostructures have been studied over the past 3 decades [1], with aim to convert silicon into direct bandgap-like semiconductor for applications as efficient light emitters, even amplifiers and lasers. We have shown that slightly electronegative ligands on the surface of silicon quantum dot (Si-QD) and/or varying electrostatic field from the environment manipulates the electronic density inside the Si-QD’s core, ultimately resulting in an indirect-to-direct bandgap conversion [2,3]. To test the role of charge distribution induced by ligands and environment experimentally, we synthesize colloidal Si-QDs capped by butyl chains using oxygen-free wet chemical method [3] and introduce two terminations, amine (-NH2) and carboxylic acid (-COOH). Si-QDs are dispersed in aqueous solutions of varying pH. The push-pull effect on the electronic wave-functions translates into changes in band-gap (emission spectrum and absorption band-edge) and emission lifetime. Results are interpreted and discussed within the frame of our theoretical simulations by tight binding and DFT. [1] K. Dohnalova et al., J. Phys.: Condens. Matter 26, 173201 (2014) [2] A. N. Podubny and K. Dohnalova, Phys. Rev. B 90, 245439 (2014) [3] K. Dohnalova et al., Light: Sci. and Appl. 2, e47 (2013)

P.9.38
16:30
Authors : Walter Morales(2), Carlos Vargas(1,2), Taina Ramírez(1,2), Daniela Chaves(2). Arturo Ramirez-Porras(1,2)
Affiliations : (1) Centro de investigación en Ciencia e Ingeniería de Materiales, Universidad de Costa Rica, San José, Costa Rica, 11501 (2) Escuela de Física, Universidad de Costa Rica, San José, Costa Rica, 11501

Resume : Frequency domain signals were applied on nanocrystalline silicon samples and impedance characteristics were extracted after submitting those surfaces to different phases of oxidation. Oxide phases were analyzed by FTIR-ATR measurements. Cole-Cole plots were also studied by fitting the curves to a model containing a network of resistors and capacitors. Results indicate a correlation between oxidation evolution and resistance and capacitance values, indicating a change in the surface chemistry of the semiconductor surface. Interpretations are also provided.

P.9.39
16:30
Authors : Rui Huang, Zhenxu Lin, Hongfei Li, Jie Song
Affiliations : School of Materials Science and Engineering, Hanshan Normal University

Resume : Si-based light sources that are compatible with the mainstream complementary metal-oxide semiconductor technology have attracted immense interest in the past decade because of their potential application in the optoelectronic integrated circuits of monolithic Si [1-3]. So far, significant research efforts have been devoted to develop efficient Si-based light-emitting materials. Among them, the low-k SiCxOy have attracted much attention due to its efficient light emission[4]. It is believed that the defect states in SiCxOy play an important role in the obtained PL behaviors. So far, C - and Si-related O defects as well as neutral oxygen vacancies are proposed to demonstrate luminescence mechanism. However, the origin of PL is still contradictory. In this work, low-k SiCxOy:H films were prepared from different CH4 flow rates by very high frequency plasma enhanced chemical vapor deposition technique. The role of defect states in inducing photoluminescence in the low-k SiCxOy:H films was studied. It is found that the photoluminescence band gradually blueshifts from 735 nm to 635 nm with the increase of CH4 flow rate from 5 to 20 sccm. High-resolution TEM analysis shows that the films are amorphous structure. The Si 2p XPS spectra indicate that the dominant phase in the films is SiCxOy phase structure. The electron paramagnetic resonance spectra reveal that all the samples have a EPR signal with the g value of 2.0047 and the line width △Hpp of about 10 G, which is the feature of the silicon dangling bond (DB) defects. Thus, the Si DB defects is suggested to contribute the strong photoluminescence in SiCxOy:H films, which is supported further by the first-principles calculations. Acknowledgments This work was supported by National Natural Science Foundation of China (Nos. 61274140) and NSF of Guangdong Province (2015A030313871). Reference 1. R. Huang, J. Song, X. Wang, Y. Q. Guo, C. Song, Z. H. Zheng, X. L. Wu, and P. K. Chu, “Origin of strong white electroluminescence from dense Si nanodots embedded in silicon nitride,” Opt. Lett. 37(4), 692–694 (2012). 2. X. Wang, R. Huang, C. Song, Y. Guo, and J. Song, “Effect of barrier layers on electroluminescence from Si/SiOxNy multilayer structures,” Appl. Phys. Lett. 102(8), 081114 (2013). 3. R. Huang, Z. Lin, Z. Lin, C. Song, Y. Guo, X. Wang, and J. Song, “Suppression of hole overflow and enhancement of light emission efficiency in si quantum dots based silicon nitride light emitting diodes,” IEEE J. Sel. Top. Quantum Electron. 20(4), 8200306 (2014). 4. Z. Lin, Y. Guo, C. Song, J. Song, X. Wang, Y. Zhang, R. Huang, and X. Huang, “Influence of the oxygen content in obtaining tunable and strong photoluminescence from low-temperature grown silicon oxycarbide films,” J. Alloys Compd. 633, 153(2015).

P.9.40
16:30
Authors : J. Stuchlík, R. Fajgar, J. Kupčík, T.H. Stuchlíková, M. Ledinsky, J. Čermák, V. Píč, Z. Remeš, V. Mortet, A. Shklyaev, V. Volodin
Affiliations : J. Stuchlík; T.H. Stuchlíková; M. Ledinsky; J. Čermák; V. Píč, Z. Remeš; V. Mortet; Institute of Physics ASCR, v. v. i. Cukrovarnická 10/112, 162 00 Praha 6, Czech Republic, EU R. Fajgar; J. Kupčík; Institute of Chemical Process Fundamentals of the ASCR, v. v. i.,Rozvojová 135, 165 02 Praha 6, Czech Republic, EU Kupčík; Institute of Inorganic Chemistry CAS, Řež u Prahy, Czech Republic, EU Z. Remeš; Faculty of Biomedical Engineering CTU in Prague, Kladno, Czech Republic, EU A. Shklyaev; V. Volodin; Novosibirsk State University, ul. Pirogova 2, Novosibirsk, 630090 Russia and A.V. Rzhanov Institute of Semiconductor Physics, Russian Academy of Sciences, Lavrent’eva ave., 13, 630090, Novosibirsk, Russia)

Resume : Thin films prepared by deposition of nanoparticles on the surface of hydrogenated silicon were studied with aim to optimize properties for optoelectronic applications. Three techniques were used to prepare the nanoparticles – high vacuum evaporation resp. MBE and ArF laser ablation. Broad range of deposition conditions (e.g. precursor pressure, temperature and laser fluence) was studied. Interesting and utilizable optoelectronic properties were observed at multilayered films composed of different nanoparticles. Research was focused on preparation of magnesium silicide (Mg2Si), calcium silicide (Ca2Si), germanium and tin nanoparticles. The nanoparticles were deposited immediately after deposition of the hydrogenated silicon layer by plasma enhanced CVD without exposing the underlying layer to ambient air. The deposited material was characterized by means of Raman and photoelectron spectroscopy techniques. Transmission, scanning and atomic force microscopies were used for more detailed description of the prepared layers. Optoelectronic properties will be also presented. This work is supported by the projects KONNECT-007 of the Czech Academy of Sciences, 13-31783S of Czech Science Foundation, LM2015087 of the Ministry of Education, Youth and Sports and by the Russian Science Foundation (Grant Nos. 14-12-01037 and 14-12-00931)

P.9.41
16:30
Authors : Yury V. Ryabchikov
Affiliations : 1 Aix-Marseille University, 163, avenue de Luminy, Marseille, France 13288 2 P.N. Lebedev Physical Institute of Russian Academy of Sciences, 53 Leninskii Prospekt, Moscow 199 991, Russia

Resume : Silicon-based nanostructures possess unique optical properties (photoluminescence, Raman response) that allow us to detect localization of NPs, their surface environment, temperature and pressure [1,2]. It can be employed for a wide range of applications, in particular, for sensors and biomedicine [3]. Influence of metal NPs can significantly improve their physical properties due to plasmon effect [4,5]. New binary nanomaterial can combine advantages and functionalities of metals and semiconductors in one NP that is a very important task for different applications, in particular, for biomedicine. In this work, binary AuSi NPs are formed by a simple environmental-free method. Their structural and optical properties are investigated and a perspective for bioapplication is shown. [1] Yu.V. Ryabchikov, S.A. Alekseev, V. Lysenko, G. Bremond, J-M. Bluet, “Photoluminescence thermometry with alkyl-terminated silicon nanoparticles dispersed in low-polar liquids”, Physica Status Solidi RRL, 7(6), 414-417, 2013. [2] YuV. Ryabchikov, V. Lysenko, T. Nychyporuk, “Enhanced Thermal Sensitivity of Silicon Nanoparticles Embedded in (nano-Ag)/SiNx for Luminescent Thermometry”, The Journal of Physical Chemistry C, 118, 12515-12519, 2014. [3] Yu.V. Ryabchikov, I.A. Belogorokhov, A.S. Vorontsov, L.A. Osminkina, V.Yu. Timoshenko, P.K. Kashkarov, “Dependence of the Singlet Oxygen Photosensitization Efficiency on Morphology of Porous Silicon, Physica Status Solidi A, 204, 1271-1275, 2007. [4] M.R. Shcherbakov, D.N. Neshev, B. Hopkins, A.S. Shorokhov, I. Staude, E.V. Melik-Gaykazyan, M.l Decker, A.A. Ezhov, A.E. Miroshnichenko, I. Brener¶ A.A. Fedyanin and Yu.S. Kivshar, “Enhanced Third-Harmonic Generation in Silicon Nanoparticles Driven by Magnetic Response”, Nano Lett., 14 (11), 6488–6492, 2014. [5] L.-B. Luo, C. Xie, X.-H. Wang, Y.-Q. Yu, C.-Y. Wu, H. Hu, K.-Y. Zhou, X.-W. Zhang, J.-S. Jie, “Surface plasmon resonance enhanced highly efficient planar silicon solar cell”, Nano Energy, 9, 112–120, 2014.

P.9.42
16:30
Authors : L. Ondic (1), M. Varga (1), K. Hruska (1), J. Valenta (2), A. Kromka (1), I. Pelant (1)
Affiliations : (1) Institute of Physics, Academy of Sciences of the Czech Republic, v.v.i., Cukrovarnicka 10, 162 00, Prague 6, Czech Republic (2) Charles University, Faculty of Mathematics and Physics, Ke Karlovu 3, 121 16, Praha 2, Prague , Czech Republic

Resume : Light extraction from a thin planar layer can be increased by introducing a two-dimensional periodic pattern on its surface. This structure, the so-called photonic crystal (PhC) slab, then not only enhances the extraction efficiency of light but can direct the extracted emission into desired angles. Careful design of the structures is important in order to have a spectral overlap of the emission with extraction (leaky) modes. We show that by fabricating photonic crystal slabs with optimized dimensions from silicon nanocrystals (SiNCs) active layers, the extraction efficiency of vertical light emission from SiNCs at a particular wavelength can be enhanced approximately 11 times compared to that of uncorrugated SiNCs-rich layer. More importantly, increased light emission can be obtained in a broad spectral range and, simultaneously, the extracted light can stay confined within relatively narrow angle around the normal to the sample plane. We demonstrate experimentally and theoretically that the physical origin of the enhancement is such that light originating from SiNCs first couples to leaky modes of the PhCs and is then efficiently extracted into the surrounding.

P.9.43
16:30
Authors : Yu Liu, Xinghong Zhang, Quan Yuan, Jiecai Han, Shengqiang Zhou, Bo Song
Affiliations : Helmholtz-Zentrum Dresden-Rossendorf, Harbin Institute of Technology

Resume : Defect-induced ferromagnetism provides an alternative for organic and semiconductor spintronics. Here, we investigated the magnetism in Silicon after neutron irradiation and try to correlate the observed magnetism to particular defects in Si. Commercially available p-type Si single crystal wafer is cut into pieces for performing neutron irradiations. The magnetic impurities are ruled out as they can not be detected by secondary ion mass spectroscopy. With positron annihilation lifetime spectroscopy, the positron trapping center corresponding to lifetime 375 ps is assigned to a kind of stable vacancy clusters of hexagonal rings (V6) and its concentration is enhanced by increasing neutron doses. After irradiation, the samples still show strong diamagnetism. The weak ferromagnetic signal in Si after irradiation enhances and then weakens with increasing irradiation doses. The saturation magnetization at room temperature is almost the same as that at 5 K. The X-ray magnetic circular dichroism further provides the direct evidence that Silicon is the origin of this ferromagnetism. Using first-principles calculations, it is found that positively charged V6 brings the spin polarization and the defects have coupling with each other.

P.9.44
16:30
Authors : Hideki Yokoi, Kazuki Tsuchida, Daiki Matsumoto
Affiliations : Graduate School of Engineering and Science, Shibaura Institute of Technology

Resume : In a fiber-to-the-home system, an optical triplexer is used to demultiplex two downstream waves and multiplex one upstream wave simultaneously. We have investigated a polarization- independent optical triplexer which is constructed on a silicon-on-insulator substrate. The optical triplexer consists of cascaded multimode-interference couplers and waveguide crossings. The waveguide has a Si guiding layer and a SiO2 lower cladding layer. High index contrast waveguides are realized so that a very compact device is expected. In this paper, we report on propagation characteristics of the multimode-interference couplers and the waveguide crossings with the Si guiding layer. Each device was designed by beam propagation method. By employing the slot waveguide in the waveguide crossings, propagation characteristics of the device were markedly improved.

P.9.45
16:30
Authors : P. Girault, P. Azuelos, N. Lorrain, L. Poffo, J. Lemaitre, P. Pirasteh, A. Gutierrez, I. Hardy, M. Thual, L. Bodiou, M. Guendouz, J. Charrier
Affiliations : UMR FOTON, CNRS, Université de Rennes 1, ENSSAT, Lannion, France

Resume : Physical and optical properties of porous silicon (PSi) have been widely studied for biological sensors. Indeed, the wide internal surface of PSi as well as its biocompatibility, have significant advantages for use in optical sensor field. Moreover, integrated micro-resonators (MRs), by their geometry and operating principle, allow to fabricate very sensitive and miniaturized sensors. The PSi MR could be used as an optical transducer for specific molecules sensing and analysis in application domains such as health, food industry and security. The porous nature of the ridge waveguides allows the target molecules to be infiltrated into the guiding layer and to be detected by direct interaction with the propagated light. This volume detection significantly enhances sensor sensitivity and allows lower limit of detection to be reached compared to those obtained by using conventional MRs based on evanescent wave detection. Integrated racetrack MR made from partially oxidized PSi ridge waveguides are implemented using an electrochemical etching method of silicon substrate, followed by a thermal partial oxidation step and then by a standard photolithography process. The obtained MRs are structurally and optically characterized at 1550 nm. Detection of glucose has been enabled with a record sensitivity of 560 nm/RIU using a partially oxidized PSi MR as transducer.

P.9.46
16:30
Authors : T. Kjeldstad(1), A. Thøgersen(2), E. Monakhov(1) and A. Galeckas(1)
Affiliations : (1) Department of Physics/Centre for Materials Science and Nanotechnology, University of Oslo, P.O. Box 1048 Blindern, N-0316 Oslo, Norway. (2) SINTEF Materials and Chemistry, P.O. Box 124 Blindern, 0314 Oslo, Norway

Resume : The plasmonic properties of aluminium nanoparticles have received an increasing amount of interest the last couple of years. Aluminium plasmonics is interesting because the plasmon responses occur towards the ultraviolet portion of the spectrum and because of the abundance of the material. In this contribution, we show indications of plasmonic effects from aluminium nanoparticles within a mesoporous aSi:H thin film. The 100 nm thin films are fabricated by co-sputtering of equal amounts of aluminium and silicon on a silicon substrate at room temperature. This fabrication process facilitates self-assembly of Al nanoparticles with diameters of < 10 nm. Wet etching is used to remove the aluminium, until a small fraction of nanoparticles remains at the interface between aSi and Si. The reduced reflection from the thin film indicates plasmonic absorption in the wavelength range of 400-500 nm. Film composition is characterized by transmission electron microscopy and Rutherford backscattering spectrometry. Our results show a promising low cost method of implementing aluminium nanoparticles within an aSi framework to enhance absorption.

P.9.47
16:30
Authors : Guillaume Marcaud, Sylvia Matzen, Carlos Alonso-Ramos, Xavier Le Roux, Mathias Berciano,Valérie Pillard, Pedro Damas, Thomas Maroutian, Guillaume Agnus, Ludovic Largeau, Eric Cassan, Delphine Marris-Morini, Philippe Lecoeur, Laurent Vivien
Affiliations : C2N- Centre for Nanoscience and Nanotechnology, CNRS, University of Paris-Sud, University of Paris Saclay, Building 220, rue André Ampère 91405 Orsay cedex

Resume : Intensive researches are currently conducted on the miniaturization of devices and on the combination of photonics and electronics to decrease the power consumption and to create novel functionalities. In this context, the hybrid integration of functional oxides on silicon photonic devices is a promising approach to bring other properties including multiferroicity, piezoelectricity, nonlinear optical properties in silicon photonics platform. Our work focuses on the integration of Yttria-Stabilized Zirconia (YSZ) functional oxide on sapphire substrates and on silicon. The common aim for both integrations is to induce nonlinear optical effects at the wavelength around 1550nm, thanks to strain tuning in full oxide- or in hybrid oxide/Si- structures. The oxide thin layers are epitaxially grown by pulsed laser deposition on sapphire and silicon and characterized by several complementary techniques including X-ray diffraction, AFM, SEM, TEM microscopies, and Raman spectroscopy. The fabrication of photonic structures (gratings coupler, waveguides) and first optical propagation results will be presented.

P.9.48
16:30
Authors : O.S. Ken, D.A. Yavsin, M.M. Sobolev, S.A. Gurevich, O.M. Sreseli
Affiliations : Ioffe Institute, St. Petersburg, Politekhnicheskaya, 26

Resume : We present the results of our studies of electric and photoelectric properties of the structures with composite layers comprising a mixture of Si and Au nanoparticles deposited on p-Si substrates. The composite layers were prepared by the laser electrodispersion technique. Several layer compositions were tested: starting from the purely Si nanoparticle layer (with the Au volume fraction γ = 0) to the purely Au nanoparticle layer (γ = 1). I-V and C-V characteristics of the structures with γ = 0 resembles that of the p-n junction. Increase in γ causes deterioration of the rectifying properties of the structures and drop of the photo-emf, as well as drastic change of the C-V-characteristics towards the transistor-like ones. The photosensitivity (a ratio of the photocurrent and the incident light power) varies with γ non-monotonically and at γ~0.4 reaches maximum of more than 15A/W in the wavelength range of 500-1000nm at the reverse bias <0.5V. Assuming the quantum efficiency equal to unity, from this we derive the gain coefficient which exceeds 30. For the structures exhibiting photocurrent gain, the photosensitivity strongly depends on the applied bias and incident light power, in contrast to the structures with small γ and no gain. Two mechanisms could be responsible for the photocurrent amplification: the photoconductive gain in the composite layer and the transistor-like gain. Both variants are considered in the view of the observed dependences.

P.9.49
16:30
Authors : Nianhua Peng, Adrian Cansell, Christopher Jeynes and Roger P Webb
Affiliations : Surrey Ion Beam Centre, ATI, FEPS, University of Surrey, Guildford GU2 7XH, Surrey, UK

Resume : Sheet resistance measurement is a readily available experimental approach that is widely used for characterising semiconducting devices and materials, in particular for the ion implantation dose monitoring. By its definition, it is well known that the measured sheet resistance of a specific ion implanted sample, e.g., Sb ion implanted Si wafers followed by rapid thermal annealing, is dependent on many parameters including both ion implantation and post annealing conditions. In general, a calibration curve is needed for each set of processing condition if the sheet resistance measurement can be used as an accurate monitor for ion implantation dose. Modelling of sheet resistance under different conditions seems to be a convenient way to establish the calibration curve mentioned above. However, a good modelling definitely requires detailed knowledge of activated carrier concentrations and carrier’s electrical mobility, both are available but with low accuracy. Various sheet resistance calculators are available, but the results are right only for those ideal situations. 500 keV Sb ions were implanted into p type Si wafers with small and controlled dose variations around 1e15 Sb/cm2. The implanted doses were measured with better than 1at% accuracy by Rutherford Backscattering Spectroscopy using 1.5 MeV He ions. These Sb implanted Si wafers were annealed at 950 oC for 3 minutes in N2 atmosphere, and sheet resistances were mapped using a standard four point probe tool. The correlation of measured sheet resistance and ion implantation dose is established for this narrow range. Various empirical mobility models were used to get calculated sheet resistance, and examined and compared with the experimentally established correlation curve. We illustrated the difference in producing simulated sheet resistance values at different doses. Surprisingly, a very simple approximation in dopant distribution profile leads to good sheet resistance and implantation dose correspondence at around 1% level, demonstrating the capability of sheet resistance as an accurate dose monitoring tool for quantitative characterisation up to 1% level.

P.9.50
16:30
Authors : T. Sameshima1, K. Yasuta1, M. Hasumi1, T. Nagao2, Y. Inouchi2
Affiliations : Tokyo University of Agriculture and Technology1; NISSIN ION EQUIPMENT CO., LTD.2

Resume : We report PN junction formation in crystalline silicon with a high carrier lifetime by ion implantation under in-situ substrate heating at low temperatures. Phosphorus atoms with a dose of 1.0x10^15 cm^-2 were implanted at 70 keV to p-type bare silicon substrates under in-situ heating ranging from 200 to 500o. (1) The analysis of the optical reflectivity spectra of implanted surfaces revealed the effective amorphized thickness, Aeff, decreased from 2.0 to 1.1 nm as the implantation temperature increased from 200 to 500^oC, while Aeff was large of 140 nm for room temperature implantation. (2) The sheet resistivity decreased from 3700 to 470 ohm/sq as the implantation temperature increased from 200 to 500^oC. (3) The photo-induced minority carrier effective lifetime increased from 2.2x10^-6 to 1.6x10^-4 s as the implantation temperature increased from 200 to 500^oC. (4) The rectified characteristics were obtained by current-voltage measurement for every sample. Photovoltaic effect was also observed by air mass 1.5 light illumination at 0.1 W/cm2. An open circuit voltage was 0.45 V. These results show that the ion implantation under in-situ low temperature heating has a capability of PN junction formation with good crystalline quality and high carrier lifetime. This method will be useful for fabrication of solar cells and photosensors at low cost. This paper also discuss the carrier mobility and carrier concentration in-depth profiles.

P.9.51
16:30
Authors : Y.S. Ergashov, B.E. Umirzakov
Affiliations : Tashkent state technical university

Resume : At creation of layers MeSi2 focuses on reduce to a minimum the surface oxygen concentration, which leads to a substantial increase of contact resistivity and a decrease diffusion atoms Me in Si. Ion implantation is not only necessary to introduce impurities in the required amount of depth, but also leads to the sputtering of other impurities (including oxygen) from the surface area of the substrate. Nanoscale structures of MeSi2 in different layers in the surface region of Si, obtained by using ion implantation Me (Me-Co and Ni), varying the ion energy in the range from 1.0 to 30 keV and a dose in the range of D = 5 ∙ 1013 - 1015 ∙ 5 cm-2 in combination with annealing. At D < 5 ∙ 1013 cm-2 due to the very low concentration of ions Me we could not detect the formation of silicide compounds. With a dose of D > 5 ∙ 1015 cm-2 begins overlapping boundaries of separate cluster phases. After warming Si, implanted ions Ni+ with E0 = 30 keV nanocrystalline phase in the form of spheres formed at a depth of 24-26 nm. When D = 5 ∙ 1014 cm-2 average diameter nanocrystals is about 5-6 nm, and at D = 1015 cm-2 - 10-12 nm. In the case of E0 = 15 keV nanocrystalline phase formed at a depth of 13-15 nm. When E0 ≤ 2 keV nanocrystalline phase practically formed on the surface and these phases were close to the cylindrical shape. Methods studying of changing intensity of light passing through the sample, estimate the width of the band gap nanocrystals of MeSi2 formed in the "volume" of silicon. The volume of each of the nanocrystalline phase, created at the same dose of ions, both on the surface and in the "volume" will be about the same. In the case of D = 1015 cm-2 the volume of each crystalline phase NiSi2 be within ~ (1.5 - 2) × 10-18 cm3 (number of atoms in the nanocrystal ~ 20000). Thus the band gap Eg consists 0.9-1 eV with increasing ion dose value Eg decreases, and at a dose of D = 5 ∙ 1015 cm-2 is about 0,6 - 0,7 eV.

P.9.52
16:30
Authors : A.S. Rysbaev, Zh.В. Khuzhaniyazov, I.R. Bekpulatov, B.E. Khayriddinov
Affiliations : Tashkent state technical university

Resume : In the implantation of the ions Li+, Na+, Rb+ and Ba+ low energy (E0 = 0.5 ÷ 1 keV), high dose D = 1016 ÷ 1017 cm-2 in the Si (111) and holding the thin monocrystals film obtained subsequent thermal annealing Si - M. As the substrate monoristall Si (111) is selected, the p - type specific resistance ρ = 3000 Om•sm. Status of the growing film surface was investigated by electron Auger - spectroscopy (AES), photoelectron spectroscopy and slow electron diffraction. The thickness of the silicide film formation evaluated the profiles of distribution of atoms taken by (AES) for etching the surface of the layered beam of ions Ar+ with 3 keV energy of the incident angle of 15° to the surface. It was determined calculating the best values of the energy and ion dose and subsequent thermal heating temperature to obtain a perfect metal silicide films. It is shown that the thickness of the silicide film increases with the mass of ions and ion dose implant. The thickness of the silicide film also increases with increasing substrate temperature - Si and proportional to the dose D1/2 ions. Silicide films have the following superstructure: Si (111) - 1x1 Ba, Si (111) - 4x4 Li, Si (111) - 2x2 Rb, and Si (111) - 1x1Na.

P.9.53
16:30
Authors : A.S. Rysbaev, Zh.В. Khuzhaniyazov, I.R. Bekpulatov
Affiliations : Tashkent state technical university

Resume : In this work, metal silicide films were obtained with ion implantation energy E0 = 0.5 ÷ 5 keV with a large dose at the surface of monocrystals Si (111) and Si (100) and followed by thermal heating at T = 600 ÷ 800 K. On the formation of the silicide films discussed by changing the shape of the silicon Auger line SiL 2,3VV and the emergence of superstructures in pictures slow electron diffraction. As a result of ion implantation Ba and alkaline elements in silicon with a large dose and subsequent heating in the surface region of the sample formed new chemical compounds - barium silicide and alkaline elements. These compounds have ion-covalent bond. To assess the degree of ionic character of chemical compounds we used the method on spectroscopy elastically scattered electrons spanning atoms, which is very sensitive to the formation of chemical bonds. By experimentally determined from the spectra chemical shift elastically scattered electrons energies SiL2,3 level us to calculate the amount of the charge, rolling from one atom to another. Thus, silicide thin films are formed by ion implantation Ba and alkaline elements with a large dose and subsequent warming having ion-covalent bond. And degree of ionization silicide Ba and alkaline elements is ~ 20-30%.

P.9.54
16:30
Authors : Kirill SHCHERBACHEV, Victor MORDKOVICH, Vladimir ZINENKO, Yurii AGAFONOF, Elena SKRYLEVA, Dmitrii KISELEV
Affiliations : National University of Science and Technology "MISIS" (MISIS), Moscow, Russian Federation; Institute of Microelectronics Technology, Chernogolovka, Russian Federation; Institute of Microelectronics Technology, Chernogolovka, Russian Federation; Institute of Microelectronics Technology, Chernogolovka, Russian Federation; National University of Science and Technology "MISIS" (MISIS), Moscow, Russian Federation; National University of Science and Technology "MISIS" (MISIS), Moscow, Russian Federation;

Resume : Irradiation by ions induces a significant number of point defects. And, the process is multi-stage and includes generation of radiation-induced vacancies and interstitial atoms, their subsequent interaction as with each other, and with other imperfections of structure of an irradiated sample that results in formation of stable complexes of defects. The real structure of the layer damaged by implantation is determined by these secondary processes, which depend on implantation conditions. In the present work, an influence of a chemical activity of implanted ions on a structure of a damaged Si layer in a «Si-on-insulator» (SOI) produced by SIMOX technology is investigated. Pieces of a SOI wafer were implanted by N+, O+, F+ and Ne+ ions using HVEE-500 facility with the values of energy, which yield a projective length of the ions of about 100nm. The doses of the ions were chosen in such a way to get the equal amount of primary Frenkel pairs for all types of the implanted ions. The values of the doses were below an amorphisation threshold. An imperfection of the crystal structure of the damaged layer was described using both strain and static Debay-Waller factor depth profiles. The value of Debay-Waller factor is proportional to the mean square displacements of atoms from their sites in the crystal lattice and can be considered as a characteristic of the degree of crystal imperfection due to amorphization or clustering of point defects. Strain and Debye-Waller factor profiles for the damaged layers were reconstructed from the diffraction curves obtained by the high-resolution X-ray diffraction (HRXRD) using a triple-axis arrangement. To reconstruct the profiles, an autofitting procedure, based on a genetic algorithm, was used. X-ray reflectometry (XRR) method was used to get an electron density depth profiles in the damaged layers. Scanning tunnelling microscopy (STM) was used to analyse a surface relief. An oxidation of Si atoms in the surface layer was studied by an x-ray photoelectron spectroscopy (XPS). It was found that the shape of the strain and Debye-Waller profiles depends on a chemical activity of the impurity. It can be a result of a quasi-chemical interaction between radiation-induced defects (VSi and Sii) and impurity atoms. Density profiles obtained from XRR data for the thin surface layer are different for the implanted ions. The [100] faceted surface features due to silicon surface bond reconstruction during the 1310°C SIMOX anneal temperature into their lowest free energy configuration were revealed by STM. Implantation by N+, O+, F+ or Ne+ ions smoothes the sample surface. A silicon oxidation at the depth of 10-15 nm is shown by XPS to be changed depending on the implanted ion.

P.9.55
16:30
Authors : Rachid Ouertani, Khawla Ghribi, Wissem Dimassi
Affiliations : Photovoltaic Laboratory, Research and Technology Center of Energy, Borj-Cedria Science and Technology Park, BP 95, 2050 Hammam-Lif, Tunisia.

Resume : Metal induced crystallization (MIC) is an energy budget saving technique since it is performed at low temperature near the metal-Si eutectic and nearly ten times faster than solid phase crystallization technique. The use of gold nanoparticles (GNPs) instead of continuous metallic thin films offers true additional advantages. In this work, we investigate the gold induced crystallization (GIC) of hydrogenated amorphous silicon (a-Si: H) thin films. Colloidal GNPs are deposited by electrophoresis method on p type and <100> oriented silicon substrates. Afterwards, a-Si: H films are elaborated by plasma enhanced chemical vapour deposition (PECVD) at fixed growth conditions to cover these Au-NP coated Si substrates. To highlight the effect of the Au-NP density or scattering on the GIC, the electrophoresis duration has been varied for three different duration leading to three GNP density evaluated by atomic force microscopy (AFM). The GIC was conducted at 500; 600 and 700 °C by rapid thermal annealing (RTA). On the basis of de-convoluted Raman spectra, the amorphous, defective and crystalline phase fractions were qualitatively and quantitatively identified in order to highlight the effect of both GNP density and annealing temperature. The results were discussed through the submission of a comprehensive MIC model in connection to the relative Si proportions in the Si-Au mixed layer and the Si-Au phase diagram.

P.9.56
Start atSubject View AllNum.Add
 
Si-Nanocrystals: Synthesis & Surface Functionalization : Chairs: R. Anthony, D. Hiller
08:45
Authors : Jonathan G.C. Veinot
Affiliations : Department of Chemistry, University of Alberta

Resume : Silicon nanocrystals (SiNCs) have been attracting attention as active materials in a variety of proto-type devices including, solar cells, light-emitting diodes, photodetectors as well as biological and medical imaging. These, and other applications require well-defined materials with predictable properties. Traditionally, SiNC surfaces are rendered processable and stable toward oxidation by employing a variations of the general hydrosilylation reaction; they all involve the addition of a silicon-hydride bond on the SiNC surface across a carbon-carbon double (or triple) bond and affords a “monolayer” attached through a robust silicon-carbon linkage. These derivatization protocols are often very time-consuming, can provide surfaces that are partial oxidized, and even lead to complex oligomeric surfaces. In this context, we have chosen to explore alternative functionalization protocols by employing non-standard reagents that could rapidly provide well-defined surfaces with minimal surface oxidation. This presentation will include a discussion of our recent exploration into SiNC surface chemistry including two new reactive platforms that have opened the door to functionalized SiNCs exhibiting absolute photoluminescent quantum yields approaching those of status quo CdSe-based quantum dots.

P.10.1
09:15
Authors : Minoru Fujii; Hiroshi Sugimoto; Kenta Furuta
Affiliations : Department of Electrical and Electronic Engineering, Graduate School of Engineering, Kobe University

Resume : Colloidal solution of silicon (Si) quantum dots (QDs) is a versatile material for many applications including optoelectronics and biophotonics. For the device applications of colloidal Si QDs, formation of a high-quality QD film (solid) is a crucial step. QD films are usually grown by spin-coating, screen printing, ink jet printing, etc. However, controllability of the film thickness of these processes is limited. It is usually not possible to achieve the accuracy of one monolayer of QDs. If this level of accuracy is achieved, the property of a Si QD film can be controlled more precisely and the development of a novel Si QD-based device may be possible. For example, in Si QD-based devices utilizing surface plasmon resonances of metal nanostructures, control of the thickness with the accuracy of a few nm is crucial to maximize the benefit of the enhanced electric field. In this work, we develop a process to produce a monolayer of Si QDs and a laminated film consisting of monolayers of Si QDs by controlling the layer-to-layer distance very accurately. The colloidal solution used is a methanol solution of all-inorganic Si QDs developed in our group. Since the surface of the QDs is negatively charged, a monolayer of Si QDs can be formed on a substrate with a positively charged ligand, e.g., amine, by immersing the substrate in a Si QDs solution. By repeating the adsorption of positively charged polymer and negatively charged Si QDs, a laminated film is grown with the accuracy of a monolayer by a so-called layer-by-layer assembly method. The layer-to-layer distance is precisely determined by the thickness of polymer. The successful formation of a laminated film is confirmed by spectroscopic ellipsometry, photoluminescence and optical absorption measurements. By this method, formation of a laminated film composed of Si QDs monolayers made from different sizes of Si QDs is possible. In these films, Förster energy transfer from a layer made from smaller Si QDs to that made from larger Si QDs is possible [1]. We analyze the relation between the energy transfer efficiency and the layer-to-layer distance and determine the Förster radius. The information is crucial to design devices utilizing energy transfer between Si QDs. [1] H. Sugimoto, K. Furuta, and M. Fujii, "Controlling Energy Transfer in Silicon Quantum Dot Assemblies Made from All-Inorganic Colloidal Silicon Quantum Dots", The Journal of Physical Chemistry C, 120 (2016) 24469.

P.10.2
09:30
Authors : Francesco Meinardi, Samantha Ehrenberg, Lorena Dhamo, Francesco Carulli, Michele Mauri, Francesco Bruni, Roberto Simonutti, Uwe Kortshagen and Sergio Brovelli
Affiliations : - Francesco Meinardi;Michele Mauri;Francesco Bruni;Sergio Brovelli Dipartimento di Scienza dei Materiali, Università degli Studi di Milano-Bicocca, via Cozzi 55, IT-20125 Milano, Italy Glass to Power Srl, Via Monte Nero 66, IT-20135 Milano, Italy - Lorena Dhamo, Francesco Carulli; Roberto Simonutti Dipartimento di Scienza dei Materiali, Università degli Studi di Milano-Bicocca, via Cozzi 55, IT-20125 Milano, Italy - Samantha Ehrenberg; Uwe Kortshagen Department of Mechanical Engineering, High Temperature and Plasma Laboratory, University of Minnesota, Minneapolis, Minnesota 55455, USA

Resume : Building integrated photovoltaics (BIPV) is gaining consensus as a renewable energy technology for producing electricity at the point of use. Luminescent solar concentrators (LSCs) could extend the architectural integration to the urban environment by realizing electrode-less semitransparent PV windows. Crucial for the achievement of large-area LSCs is the suppression of reabsorption losses, which requires emitters with negligible overlap between their absorption and emission spectra. In this talk, I will report on an as-yet unexplored concept for achieving reabsorption-free LSCs, that is, the use of indirect band-gap semiconductor nanostructures, such as highly-emissive silicon quantum dots (Si-QDs). Silicon is non-toxic, low-cost and ultra-earth-abundant, which avoids limitations to industrial scaling of QDs comprising of low-abundance elements. Suppressed reabsorption and scattering losses lead to nearly ideal large-area LSCs with optical efficiency, η=2.85%, matching state-of-the-art semitransparent LSCs. Application of back-reflectors boosts the performance up to η~4%, which is the highest value reported for large-area LSCs. Monte-Carlo simulations indicate that optimized Si-QDs LSCs have a clear path to η>5% for 1m2 devices. We finally realize flexible LSCs with performances comparable to flat concentrators, which opens the way to new design freedom of BIPV elements. Reference: Meinardi et al. Nature Photonics, 2017 in press.

P.10.3
09:45
Authors : Arnon Lesage, Berend Smits, Tom Gregorkiewicz
Affiliations : Van der Waals-Zeeman Institute, University of Amsterdam, Science Park 904, 1098 XH Amsterdam, The Netherlands

Resume : The Förster Resonant Energy Transfer (FRET) process is well understood in organic molecules and direct band gap quantum dots. For silicon quantum dots, however, the underlying mechanism remained somewhat elusive, with the indirect bandgap forcing participation of phonon during the transfer process. The direct evidence of this process for solid-state dispersions of Si quantum dots in SiO2 has been given only recently [1]. Here we extend this study by investigating the exciton transfer in these materials at different temperatures. In particular, we determine the temperature dependence of the spectral shift of the ensemble photoluminescence as induced by FRET at different excitation power levels. From time-resolved measurements dynamics we conclude that the photoluminescence decay slows down at lower temperatures due to changes in the relative population between singlet and triplet states of the exciton and consider the effect that this can have of the FRET process. In that way new insights on the energy exchange between Si quantum dots are obtained. [1] J. Phys. Chem. C, 2015, 119 (33), pp 19565–19570

P.10.4
 
Si-Nanocrystals: Charge Transport, Synthesis, Plasmonics : Chairs: J. Veinot, Z. Holman
10:30
Authors : Reuben T. Collins, Idemudia Airuoyo, Tianyuan Guan, Grant Klafehn, Mark T. Lusk, P. Craig Taylor, Paul Stradins
Affiliations : Physics Department, Colorado School of Mines, Golden, CO 80401 USA; National Renewable Energy Laboratory, Golden, CO 80401 USA

Resume : Nanocrystalline silicon is composed of silicon nanocrystals embedded in an amorphous silicon matrix. Using photoluminescence, electron paramagnetic resonance, and time-resolved terahertz spectroscopies, we have shown that carriers generated in the amorphous region can efficiently transport to the nanocrystals prior to thermalization into band tail states. This indicates nanocrystalline silicon is effectively a type I bulk heterojunction material and helps explain its enhanced photo stability compared to amorphous silicon by itself. In the growth of conventional nanocrystalline silicon, however, it is difficult to separately control the properties of the amorphous and crystalline phases. The silicon nanocrystals, in particular, are generally too large to exhibit quantum confinement. Here we demonstrate a new method for the growth of quantum confined silicon nanoparticles in an amorphous matrix with the ability to separately tune the amorphous matrix and control nanocrystal size in the sub-10nm regime. We show that the Si quantum dots exhibit quantum confinement when surrounded by the amorphous matrix which is very different from quantum confinement in vacuum. We present the structural and transport properties of this new material as a function of Si quantum dot fraction and provide evidence that rapid carrier transfer from the amorphous phase to the quantum dots still occurs. Support from the DOE and NSF are gratefully acknowledged.

P.11.1
11:00
Authors : Rebecca Anthony
Affiliations : Michigan State University

Resume : One of the most attractive ways to synthesize silicon nanostructures is using nonthermal plasma reactors. Plasmas offer continuous synthesis from vapor-phase reactants at near room temperature, making this technique a versatile and environmentally-friendly approach to achieving high-quality silicon nanocrystals. The resulting nanocrystals have tunable sizes, crystallinity, and surfaces based on reactor parameters – in turn leading to changes in their optical properties. Their bright, wavelength-tunable luminescence can also be employed in LEDs, both fabricated using traditional solution-phase methods as well as directly from the gas-phase. Recently, we have made advances that reveal how to deposit silicon nanocrystals directly onto elastomer substrates, setting up surface instabilities that have implications for their photoluminescence and scattering properties. Other recent developments include using plasmas for conformal coating of functional nanocrystal films onto substrates of arbitrary geometry. We will also discuss ongoing work on using all-gas-phase methods for catalyzing silicon nanorod growth, including using plasmas for catalyst metal nanoparticle synthesis coupled with plasma-based growth of silicon nanostructures.

P.11.2
11:30
Authors : S. Bohloul,Q. Shi, Robert A. Wolkow, H. Guo
Affiliations : S. Bohloul, Q. Shi, H. Guo: Center for the Physics of Materials and Department of Physics, McGill University, Montreal, Quebec H3A 2T8, Canada Robert A. Wolkow: National Institute for Nanotechnology, National Research Council of Canada, Edmonton, Alberta, Canada T6G 2M9 Department of Physics, University of Alberta, Edmonton, Alberta, Canada T6G 2E1

Resume : In this work we analyse quantum transport along a single line of dangling bonds (DBs) on Si(100)-2$\times$1:H surface which are known as dangling bond wires (DBWs) and show a perfect metallic behaviour. In particular, by using a state-of-the-art first principles technique we have shown that the conductance of the DBW can be gated by electrostatic potential and orbital overlap due to only a single DB centre (DBC) within a distance of $\sim 16${\AA} from the DBW. Further investigations show that the gating effect is affected by the number of DBCs, the inter-separation distance between the gates, as well as the distance between the DBW and the gate(s). By analyzing transmission spectrum and density of states of DBC-DBW systems, with or without substrate doping, it is demonstrated that the charge transport in a DBW can be regulated to have both an on-state and an off-state using only one or two DBs.

P.11.3
11:45
Authors : E. Simoen1,2, S. Jayachandran1,3, A. Delabie1,4, M. Caymax1 and M. Heyns1,3
Affiliations : 1 Imec, Kapeldreef 75 B-3001 Leuven, Belgium 2 also at Dept. Solid-State Sciences, Ghent University, Krijgslaan 281 S1, 9000 Gent, Belgium 3 also at KU Leuven, Dept. Metallurgy and Materials, Castle Arenberg 44, B-3001 Leuven, Belgium 4 also at KU Leuven, Dept. Chemistry, Celestijnenlaan 200F, B-3001 Leuven, Belgium

Resume : Oxygen superlattices (SLs) in silicon have received quite some attention, related to the fact that they could enable the fabrication of a higher mobility silicon channel, without the need for implementing strain [1-4]. While the presence of oxygen on a silicon surface is normally detrimental for good quality epitaxial deposition, the growth of crystalline oxygen SL in Molecular Beam Epitaxy (MBE) [1-3] and more recently, Chemical Vapour Deposition (CVD) [4] has been demonstrated. The elimination of extended defects (stacking faults) is important in order to obtain the mobility enhancement required for boosting the CMOS transistor performance [5]. This is related with the presence of deep level centres in the SL, which can trap free carriers. It has been reported before that a broad distribution of near mid gap hole traps is present in p-type Si, as determined by Deep-Level Transient Spectroscopy (DLTS) [5,6]. In addition, it has been shown that the parameters of the hole traps (activation energy, hole capture cross section and concentration) strongly depend on the number of periods and the thickness of the epitaxial silicon interlayer [7]. It is the aim here to extend the study to n-type silicon containing oxygen atomic layers (ALs). The SLs have been deposited on 200 mm n-type Czochralski (CZ) silicon substrates after a high-temperature pre-epi bake, removing the residual native oxide. CVD of a silicon epi layer at ~500 oC using SiH4 is followed by the Atomic Layer Deposition (ALD) at 50 oC using O3 as an oxygen precursor. It has been shown that both a H-termination of the silicon surface before ALD and a partial sub monolayer oxygen coverage are crucial for a good epitaxial continuation of the several periods SL [5,6]. Cr Schottky barriers have been thermally evaporated on the SLs with a different number of periods (1, 3 and 5), in order to enable DLTS. Fourier transform DLTS has been applied using several bias pulses, which probe either the depletion region of the near surface layer, containing the SL, or the silicon substrate. As will be shown, the presence of the SL introduces a broad band of electron traps in the DLT-spectra, which are strongly affected by the number of periods. These results will be compared with the previous p-type data and it will be argued that both the hole and electron states are associated with extended defects introduced during the growth. At the same time, it is shown that there exists a correlation between the deep level defects and the Schottky barrier height. This clearly demonstrates that the electrically active defects introduced by the SL growth should be controlled in order to enhance the device performance. References [1] Y.-J. Seo and R. Tsu, J. Korean Phys. Soc. 45, 120 (2004). [2] N. Xu, N. Damrongplasit, H. Takeuchi, R.J. Stephenson, N.W. Cody, A. Yiptong, X. Huang, M. Mytha, R.J. Mears, and T.-J. King Liu, Tech. Dig. IEEE Int. Electron Devices Meeting – IEDM12, The IEEE (New York), p. 127 (2012). [3] N. Xu, H. Takeuchi, N. Damrongplasit, R.J. Stephenson, X. Huang, N.W. Cody, M. Hytha, R.J. Mears, and T.-J. King Liu, IEEE Trans. Electron Devices 61 3345 (2014). [4] A. Delabie, S. Jayachandran, M. Caymax, R. Loo, J. Maggen, G. Pourtois, B. Douhard, T. Conard, J. Meersschaut, H. Lenka, W. Vandervorst, and M. Heyns, ECS Solid St. Lett. 2, P104 (2013). [5] S. Jayachandran, A. Billen, B. Douhard, T. Conard, J. Meersschaut, A. Moussa, M. Caymax, H. Bender, W. Vandervorst, M. Heyns, and A. Delabie, Appl. Surf. Sci. 384, 152 (2016). [6] E. Simoen, S. Jayachandran, A. Delabie, M. Caymax, and M. Heyns, Semicond. Sci. Technol. 31, 025015 (2016). [7] E. Simoen, S. Jayachandran, A. Delabie, M. Caymax, and M. Heyns, accepted by Phys. Stat. Sol. B [8] S. Jayachandran, E. Simoen, K. Martens, J. Meersschaut, H. Bender, M. Caymax, W. Vandervorst, M. Heyns, and A. Delabie, ECS J. Solid State Sci. and Technol. 5, P396 (2016).

P.11.4
12:00
Authors : S. Geiskopf (1), M. Stoffel (1), X. Devaux (1), Nikolay Cherkashin (2), C. Bonafos (2), A. Bouché (1), D. Mangin (1), M. Vergnat (1), H. Rinnert (1)
Affiliations : (1) Université de Lorraine, UMR CNRS 7198, Institut Jean Lamour, BP 70239, 54506 Vandœuvre-lès-Nancy, France; (2) CEMES-CNRS Université de Toulouse, rue Jeanne Marvig, BP 94347, 31055 Toulouse, Cedex 4, France

Resume : Hyperdoping of silicon nanocrystals (Si-NCs) appears as an emerging way to produce novel tunable optical properties in Si-based devices. Provided dopants such as Boron or Phosphorus (P) are efficiently inserted and activated in Si-NCs, a collective absorption of free electrons is expected to occur at an energy which depends on the dopant concentration. An infrared absorption was recently reported in heavily doped Si-NCs and attributed to a localized surface plasmon resonance. In this study, P-hyperdoped Si-NCs embedded in SiO2 films were obtained by thermal annealing of P-doped Si-rich SiO2 films. The 200 nm-thick films were obtained by co-evaporation of SiO and SiO2 using e-beam evaporation and the P doping was obtained from a GaP decomposition source. The structure and chemical composition of the samples was analyzed by scanning transmission electron microscopy, high-angle annular dark-field imaging, secondary ion mass spectrometry and energy-dispersive x-ray spectroscopy. The optical properties were studied by Fourier transform infrared absorption spectroscopy. Depending on the preparation conditions, SiP nanostructures and/or hyperdoped Si-NCs can be obtained. A strong and stable absorption around 1000 cm-1 is attributed to a plasmonic response of the P-hyperdoped Si-NCs.

P.11.5
12:15
Authors : Amin S. Azar, Mohammed M'hamdi, Alexander G. Ulyashin
Affiliations : SINTEF Materials and Chemistry, Forskiningsveien 1, NO-0373 Oslo, Norway.

Resume : In this study, it is demonstrated that Si NPs with the average primary size below 30 nm can be produced using the arc discharge (gas breakdown) method and distributed over a Si wafer surface simultaneously. It is concluded that in this case it is not required to collect the NPs and use NPs containing solution or ink to distribute them on a substrate. To demonstrate this option, Si NPs produced by arc discharge in the form of "fume" have been printed on the Si substrate without going through the wet dispersion. The results show that the NPs can be distributed on the surface evenly without signs of significant agglomeration and coagulation. The NPs were evaporated from a Si powder based sintered anode with tungsten cathode using the arc. The produced NPs from the anode material curried by the gas flow and deposited on the surface of the substrate, which is located right above the arc. In contrast to the wet deposition based techniques, no any removal step of solution/ink residuals is required to form Si NPs layer on a substrate. It was also observed that the arc discharge method is capable of producing quantum-dots (QD) in the range of 1 nm to 5 nm. Possible applications of the developed approach are discussed.

P.11.6
 
Si-Nanoelectronics I : Chairs: R. Collins, W. Weber
14:00
Authors : Y.-M. Niquet (1, 2), L. Bourdet (1, 2), Z. Zeng (1, 2), F. Triozon (1, 3), A. Corna (1, 4), M. Sanquer (1, 4), S. de Franceschi (1, 4), M. Vinet (1, 3)
Affiliations : (1) Université Grenoble Alpes, Grenoble, France ; (2) CEA/INAC/MEM, Grenoble, France ; (3) CEA LETI-MINATEC, Grenoble, France ; (4) CEA/INAC/PHELIQS, Grenoble, France.

Resume : Silicon-on-Insulator (SOI) is a versatile platform for the design of nano-electronic devices. Planar and multi-gate fully-depleted SOI transistors actually show excellent performances, and allow enhanced control and functionalities thanks to back gate bias. Also, these devices exhibit rich physics at both room and low temperature. In particular, SOI transistors can be operated in the single (or few) electron/hole regime at low temperature. The spin of the carriers can then be manipulated, which opens the way for the design of SOI spin qubits. In this talk, we review some insights brought by quantum modeling on the physics of SOI devices. We discuss the role played by the "corner channels'' on the transport in rectangular SOI Fin-FETs, and the scaling of the mobility with channel width and height. We then show how the corner channels can be turned into corner dots at low temperature. These corner dots are highly tunable thanks to the efficient control by the front and back gates. We present experimental evidence that the spin of the carrier(s) in the corner dots can be manipulated, and discuss the underlying mechanisms with detailed tight-binding simulations. We highlight the role played by the Si/SiO2 interface and gate stack materials in these devices. We conclude with some perspectives for both classical and quantum electronics on SOI.

P.12.1
14:30
Authors : J.-Y. Kim (1), S.-H Choi (1), J. Kim (2),(3), H. Lee (1),(4), C.-W. Yang (1), and H. Kim (1)
Affiliations : (1) School of Advanced Materials Science and Engineering, Sungkyunkwan University, Suwon 16419, Republic of Korea (2) Department of Semiconductor and Display Engineering, Sungkyunkwan University, Suwon 16419, Republic of Korea (3) Semiconductor R&D Center, Samsung Electronics, Hwaseong 18488, Republic of Korea (4) Analytical engineering group, Samsung Advanced Institute of Technology (SAIT), Samsung Electronics Co., Suwon 16678, Republic of Korea

Resume : Er-silicide is a very promising material for the source/drain (S/D) contacts of n-channel metal-oxide-semiconductor transistors due to its low Schottky barrier height to n-type Si [1]. Meanwhile, an epitaxially grown Si:P layer has attracted great attention because it can impose a high tensile stress in the channel and boost the electron mobility when it is grown selectively on the S/D regions [2]. In this study, we formed and characterized the Er-silicide films on the strained Si:P epi-layers. The Si:P epi-layer (P > 1e+21 cm-3) was grown on a Si substrate using a chemical vapor deposition system. After the Er and TaN capping films were deposited using sputtering, the samples were annealed at various temperatures. According to several characterization results (X-ray diffractometry, transmission electron microscopy, etc.), the microstructures of the formed Er-silicide films were strongly dependent on the underlying substrates, i.e., Si and Si:P. On the basis of a couple of model experiments to separate the possible strain and dopant effects, the detailed origin will be discussed in this presentation. [1] J.Y. Duboz et al., Appl. Phys. Lett., 55, 84 (1989). [2] Z. Ye et al., ECS Transactions 50, 1007 (2012).

P.12.2
14:45
Authors : Dirk König(abc), Daniel Hiller(bc), Sebastian Gutsch(c), Margit Zacharias(c), Sean Smith(a)
Affiliations : (a) Integrated Materials Design Centre (IMDC), University of New South Wales, Sydney, Australia (b) School of Photovoltaic and Renewable Energy Engineering (SPREE), UNSW, Sydney, Australia (c) Laboratory for Nanotechnology, Dept. of Microsystems Engineering (IMTEK), University of Freiburg, Germany

Resume : All electronic, optoelectronic or photovoltaic applications of silicon depend on controlling majority charge carriers via doping with impurity atoms. Nanoscale silicon is omnipresent in fundamental research (quantum dots, nanowires) but also approached in future technology nodes of the microelectronics industry. In general, silicon nanovolumes, irrespective of their intended purpose, suffer from effects that impede conventional doping due to fundamental physical principles such as out-diffusion, statistics of small numbers, quantum- or dielectric confinement. In analogy to the concept of modulation doping, originally invented for III-V semiconductors, we demonstrate a heterostructure modulation doping method for silicon. Our approach utilizes a specific acceptor state of aluminium atoms in silicon dioxide to generate holes as majority carriers in adjacent silicon. By relocating the dopants from silicon to silicon dioxide, Si nanoscale doping problems are circumvented. In addition, the concept of aluminium-induced acceptor states for passivating hole selective tunnelling contacts as required for high-efficiency photovoltaics is presented and corroborated by first carrier lifetime measurements.

P.12.3
15:00
Authors : K.V.Karabeshkin, M.A.Shevtsov, N.A.Sobolev, A.N.Tereschenko, A.I.Titov, P.A.Karaseov
Affiliations : Peter the Great Polytechnic University, St.Petersburg, Russia Ioffe Physical-Technical Institute, St.Petersburg, Russia Institute of Solid State Physics of RAS, Chernogolovka, Russia

Resume : Scientists and technologists pay close attention to ion-beam-radiation induced processes in silicon for more than 60 years. One of new amazing discoveries in the field was ability of keV-ion-irradiated and annealed Si monocrystals to operate as light emitters. This fact raised interest to defect-related photoluminescence (PL) studies in Si due to it potentially permits to overcome extremely low emission efficiency caused by the indirect bandgap of Si. We perform studies of molecular (PFn) and monatomic (P, F, O, Ne) ion radiation-induced defect formation and annealing to elucidate connections between luminescent properties and radiation defects formed. Increase in the density of individual collision cascade raises displacement generation rate by an order of magnitude and causes enhanced radiation damage formation. Samples irradiated with molecular and monatomic ions were annealed during up to 2 hours in oxidising and in neutral ambient. Specific features of the emission spectra related to changes in the implantation conditions of molecular and monatomic ions and the subsequent annealing were studied. Levels caused by edge luminescence and phosphorous precipitates, as well as peaks from {113} defects and oxygen precipitates were identified. In particular, so-called D1 line was found among others. This line is technologically important due to it survives up to room temperature and corresponds to SiO2 transparency window at 1550 nm. Work was supported by RFBR (grant 17-08-01391)

P.12.4
15:15
Authors : V.A. Borodin(1,2), M.G. Ganchenkova(2)
Affiliations : (1) RRC Kurchatov Institute, Kurchatov Pl., 1, 123182 Moscow, Russia; (2) NRNU MEPhI, Kashirskoe sh. 31, 115409 Moscow, Russia

Resume : Similar to known fast diffusion of interstitial boron in silicon, equally fast diffusion of interstitial P was assumed to explain low-level P doping effect on cobalt precipitation during ion implantation [1]. Quite low migration barriers for P interstitial were indeed predicted in [2], but neither the migration pathways, nor the reason for P staying in the dumbbell for many jumps remained clear. We report the results of a detailed ab initio simulations of interstitial P diffusion in Si. Unlike the earlier studies, the ground state configurations of P0 and P- are interpreted as a near-hexagonal interstitial with two Si neighbors shifted from their sites (rather than one in a dumbbell). P+ atom also has a near-hexagonal equilibrium, only 30 meV higher in energy than the true ground state (in H position) and involved in the P+ interstitial transport. The <110> Si-P dumbbell is found to be a saddle point in all cases. The P diffusion pathways can be broadly described as a mix of jumps within and between neighbor hexagons in the Si lattice. The pathways are different for all charge states, with the bottleneck migration barriers of 0.46, 0.13, and 0.52 eV for P-, P0 and P+, resp. For P0, the barrier is nearly twice as low as predicted in [2], indicating that interstitial P is no less mobile than B in intrinsic Si. The static predictions are confirmed by MD simulations. . [1] V. A. Borodin et al. J.Appl.Phys. 117, 045704 (2015). [2] S.A. Harrison et al. Phys.Rev.B 74, 195202 (2006).

P.12.5
Start atSubject View AllNum.Add
 
Optical Si-Sensors & Bioimaging : Chairs: W. Green, Z. Holman
09:00
Authors : A. Meldrum, S. Lane, W. Morrish, K. Gardner, P. West, A. Francois
Affiliations : University of Alberta, University of South Australia

Resume : Silicon nanocrystals (SiNCs) present many advantages for sensor applications, including relatively bright and stable fluorescence and low-to-negligible toxicity. Freestanding NCs can be surface functionalized to produce wavelength-tunable luminescence, high quantum yields, and direct environmental accessibility to the interface for sensing purposes. However, the high sensitivity to air (via ambient oxidation and related effects) and the lack of sensor re-usability remains a problem for sensing applications. In contrast, film-embedded NCs suffer less from oxidation under ambient conditions, they are physically durable, and they demonstrate very stable luminescence. In this work we summarize our recent efforts in creating optical-cavity-based sensors from smooth films of oxide-embedded SiNCs. The method we developed was used to form sub-micron-thick fluorescent coatings on the channel walls of microcapillaries with diameters ranging from 10 to 100 micrometers. We demonstrate general refractometric sensing and specific biosensing with protein detection limits in the nanomolar range. "Real time" data processing with single-picometer spectral shift sensitivity enables the detection of small changes in the surrounding liquids as the experiment progresses. The sensors are physically robust can be re-used multiple times - in fact they can be easily cleaned - and simple methods can eliminate temperature cross-dependence. The main limitation of the method currently involves the relatively slow detection (requiring typically 20 seconds per collected fluorescence spectrum) due to the low light levels associated with the Si-NC fluorescence emanating from a tiny region of a microcapillary. We finally discuss our most recent work which aims to extend the idea into the lasing regime. Using SiNCs as the groundwork, we are developing similar techniques for lasing polymers and recently demonstrated the first lasing microcapillary sensor.

P.13.1
09:30
Authors : Paul Azuelos, Nathalie Lorrain, Monique Thual, Mohammed Guendouz
Affiliations : UMR FOTON, CNRS, Université de Rennes 1, ENSSAT, Lannion, France

Resume : An optical and hybrid integrated sensor based on Vernier effect principle and implemented with porous silicon and polymer waveguides is studied to detect low concentration of biomarkers such as Bovin Serum Albumin (BSA). Vernier effect integrated sensor consists of an integrated interferometer micro-resonator (MR) as a reference, cascaded to another integrated interferometer which is sensitive to analyte concentration. In our case two MR are cascaded: a porous silicon MR is used in the part of the sensor where BSA molecules are grafted to benefit from its large specific surface and, its high surfacic sensitivity. Moreover, low propagation losses polymer waveguides are used for the reference part of the structure. The presentation will focus on theoretical study and design of the sensor, particularly on the evanescent coupling between porous silicon and polymer waveguides. Then, the fabrication of the sensor, using standard photolithography will be detailed. Moreover, characterization on optical bench and comparison to theoretical results will be presented. This hybrid Vernier effect based sensor demonstrates a theoretical Limit Of Detection (LOD) of 0.019 pg.mm-2 and sensitivity of 12.5 nm/(pg.mm-2). To our knowledge, these values are 8 times lower for the LOD and 200 times higher for the sensitivity compared to the state of the art of Vernier effect biosensors.

P.13.2
09:45
Authors : Angélique Faramus, Austin Toresdahl, Christopher Robidillo, Jonathan G.C. Veinot
Affiliations : Department of Chemistry, University of Alberta, Edmonton, Alberta T6G2G2, Canada

Resume : Nanoparticles have recently attracted attention in the biomedical field as a medical diagnostic and curative tool. Silicon nanocrystals are a highly efficient, non-toxic and elementally abundant alternative to metallic nanoparticles as a biological platform. Their superior optical properties and surface versatility offer perspective applications as biological markers for fluorescence optical imaging, positron emission tomography or magnetic resonance imaging.[1] The silicon nanocrystals are synthesized via heating of a silicon-based oligomer followed by etching with hydrofluoric acid to obtain free standing silicon nanocrystals with a hydride-terminated surface.[2] By defining the heating and etching process, a great control of the size and shape of the nanocrystals can be achieved and subsequently a control of their inherent optical properties. After synthesis, the hydride terminated surface can be passivated with a large variety of surface groups. Various properties, such as the water dispersibility necessary for applications in aqueous environments and biological systems, can be achieved by grafting suitable molecules onto the surface of our silicon nanocrystals.[3] The research presented focuses on the synthesis, surface modification with biologically relevant molecules and characterization of silicon nanocrystals that may have application as imaging agents in biomedicine. Keywords: silicon nanoparticles, photoluminescence, surface modification, bioimaging, medical applications [1] Folarin Erogbogbo, Ken-Tye Yong, Indrajit Roy, GaiXia Xu, Paras N. Prasad, and Mark T. Swihart, Biocompatible Luminescent Silicon Quantum Dots for Imaging of Cancer Cells, ACS Nano 2008 2 (5), 873-878, DOI: 10.1021/nn700319z [2] Colin M. Hessel, Eric J. Henderson, and Jonathan G. C. Veinot, Hydrogen Silsesquioxane:  A Molecular Precursor for Nanocrystalline Si−SiO2 Composites and Freestanding Hydride-Surface-Terminated Silicon Nanoparticles, Chemistry of Materials, 2006 18 (26), 6139-6146, DOI: 10.1021/cm0602803 [3] Mita Dasog, Glenda B. De los Reyes, Lyubov V. Titova, Frank A. Hegmann, and Jonathan G. C. Veinot, Size vs Surface: Tuning the Photoluminescence of Freestanding Silicon Nanocrystals Across the Visible Spectrum via Surface Groups, ACS Nano 2014 8 (9), 9636-9648, DOI: 10.1021/nn504109a

P.13.3
 
Ge-Nanocrystals & SiGe : Chairs: A. Meldrum, D. König
10:30
Authors : David Lehninger, Florian Honeit, Jan Beyer, Frank Schneider, Johannes Heitmann
Affiliations : Institut für Angewandte Physik, TU Bergakademie Freiberg, D-09596 Freiberg

Resume : Group IV nanocrystals embedded in dielectric matrices are discussed as absorbers for third generation solar cells, as sensitizers for rare earth elements, as charge trapping layer for nonvolatile memories or as Si based material for non-linear optics. High-k materials play a major role in semiconductor research and development. Semiconductor industry made a step towards high-k dielectrics like Al2O3, HfO2 and ZrO2 for MIM capacitors in DRAM and rf applications [1], as well as for gate dielectrics for sub 45 nm devices or in high electron mobility transistor in GaN based hetero structures. Already here, the beneficial role of amorphous vs. crystalline dielectrics for the reliability of the device was reported. Furthermore, in solar industry the high-k material Al2O3 is discussed to replace SiN passivation layer for the newest PERC concept [2,3]. In this work, the formation of Ge nanocrystals in a TaZrOx matrix as well as in TaZrOx/SiO2 double layers or Si nanocrystals in SiO2 were studied in rf-sputtered thin films. The matrix material has a significant influence on the nanocrystal size and shape control and their optical and electrical characteristics. It has been found that Ge nanocrystals with spherical shape and well-defined size embedded in amorphous TaZrOx and especially in the TaZrOx/SiO2 double layers can be formed. The superior properties of single layers of so formed Ge nanocrystals in the amorphous TaZrOx matrix for charge trapping applications were already shown [4]. At elevated annealing temperatures, the amorphous TaZrOx matrix crystallizes in a non-centrosymmetric orthorhombic phase. The so formed ferroelectric dielectric – Ge compound material is a model system for future CMOS applications. The described material combinations of group IV nanocrystals in different amorphous and crystalline matrix materials (SiO2, ZrO2, TaZrO2) open the road for a fully engineered meta material with new optical and electrical properties by using either regular superstructures or asymmetric structures to break the crystal symmetry. [1] J. Heitmann, A. Avellan, T. Boescke, E. Erben, B. Hintze, S. Jakschik, S. Kudelka, and U. Schroeder, HfAlO and HfSiO Based Dielectrics for Future DRAM Application, ECS. Trans. 2 (2006) 217. [2] Benick et al. Applied Physics Letters 2008; 92; 253504 [3] F. Kersten, A. Schmid, S. Bordihn, J. W. Müller, J. Heitmann, Energy Procedia, 38, p. 843, (2013) [4] D. Lehninger, P. Seidel, M. Geyer, F. Schneider, V. Klemm, D. Rafaja, J. von Borany, J. Heitmann, Appl. Phys. Lett. 106, 023116 (2015)

P.14.1
11:00
Authors : Lukas Spindlberger, Thomas Fromherz, Friedrich Schäffler, Antonio Polimeni, Mark T. Lusk, Martyna Grydlik, Moritz Brehm
Affiliations : Johannes Kepler University, Institute of Semiconductor and Solid State Physics, Altenberger Strasse 69, 4040 Linz, Austria; Johannes Kepler University, Institute of Semiconductor and Solid State Physics, Altenberger Strasse 69, 4040 Linz, Austria; Johannes Kepler University, Institute of Semiconductor and Solid State Physics, Altenberger Strasse 69, 4040 Linz, Austria; CNISM and Department of Physics, Sapienza Universita di Roma, Piazzale A. Moro 2, 00185 Roma, Italy; Department of Physics, Colorado School of Mines, Golden, Colorado 80401, United States; Johannes Kepler University, Institute of Semiconductor and Solid State Physics, Altenberger Strasse 69, 4040 Linz, Austria; Johannes Kepler University, Institute of Semiconductor and Solid State Physics, Altenberger Strasse 69, 4040 Linz, Austria

Resume : We report on recent developments of defect-engineered epitaxial Ge quantum dots (QDs) encapsulated in a defect-free crystalline Si matrix. In such advanced QDs electrons are strongly localized at the defect-site, leading to direct optical transitions upon recombination with holes confined in the QD. The defects in the QDs are formed through Ge ion bombardment (GIB) and annealing. In GIB-QDs electrons are trapped in states located ~300 meV below the conduction band edge. Thus, thermal quenching of their photoluminescence (PL) is not observed up to room temperature (RT). Importantly, GIB-QDs can be used as gain material for CMOS-compatible lasers. In this contribution, we will discuss formation mechanisms of GIB-QDs, and special attention will be drawn to the fact that high-efficiency GIB light-emitters can only be obtained if the engineering of single defects is performed in a QD. For structures with higher dimensionality (quantum wells or even in bulk material), no efficient RT-PL is found. Furthermore, we will discuss the influence of the post-growth treatment of GIB-QDs using annealing, hydrogen implantation and passivation on the PL intensity and time-dependent PL decay of the nanostructures. Here, we found that there exists an optimum window of the implantation dose, further increasing the RT-PL properties of GIB-QDs. This, in combination with the fabrication of multistack GIB-QD layers provides a promising path for continued improvement of GIB-QD lasers operating at RT.

P.14.2
11:15
Authors : Stefano Ossicini, Ivan Marri, Matteo, Bertochi, Michele Amato
Affiliations : Dipartimento di Scienze e Metodi dell'Ingegneria, Università di Modena e Reggio Emilia, Via Amendola 2 Pad. Morselli, I-42122 Reggio Emilia, Italy and CNR-Istituto di Nanoscienze-S3, via Campi 213 A,I-41125 Modena, Italy; CNR-Istituto di Nanoscienze-S3, via Campi 213 A,I-41125 Modena, Italy; Dipartimento di Scienze e Metodi dell'Ingegneria, Università di Modena e Reggio Emilia, Via Amendola 2 Pad. Morselli, I-42122 Reggio Emilia, Italy; Centre de Nanosciences et de Nanotechnologies, CNRS, Univ. Paris-Sud, Universit_e Paris-Saclay, 91405 Orsay, France

Resume : A systematic first-principle study of work function modulation and electronic charge redistribution induced by different chemical terminations on Si and Ge surfaces is presented and discussed. The presence of halogen atoms (I, Br, Cl, and F) induces an increase of the work function, with respect to the fully hydrogenated ones taken as reference systems, that is directly linked to the chemisorbed atoms electronegativity as well as to the charge redistribution at the interface. Noticeably, this augmentation is larger for the Ge surfaces than for the Si ones, bringing the work function absolute values for the two halogen functionalized semiconductor surfaces to very similar values. On the contrary, a remarkable diminution of the work function for both CH3 covered Si and Ge surfaces is observed. This is an interesting result, in particular for understanding the basic mechanisms of semiconductor surface functionalization. Finally, as an example of chalcogen terminated surfaces, we investigate the case of OH adsorption. Here, whereas for the OH terminated Si surface we calculate a work function shrinking, in the case of the OH terminated Ge surface we observe the opposite behaviour. All these results are discussed and compared to previous theoretical works and available experimental data Our main conclusion is that the changes in the electronic properties induced by variations of the interfacial chemistry strongly depend on the chemisorbed species and much less on the surface orientation.

P.14.3
11:30
Authors : Yuhki Itoh, Tomoyuki Kawashima, Katsuyoshi Washio
Affiliations : Graduate School of Engneering, Tohoku University; Division for International Advanced Research and Education, Tohoku Universtiy; Japan Society for the Promotion of Science Research Fellow ;

Resume : Ge quantum dots (QDs) are one of the promising candidates to integrate optical devices on a Si platform. Some methods to form Ge QDs on Si(100) in a single layer were reported. However, to achieve sufficient light emission, Ge QDs are necessary to be stacked in multiple layers. In general, the stacking of QDs tended to enlarge due to the accumulation of a compressive strain in a spacer to separate the QD layers. In this study, to suppress the strain accumulation, a strain-compensated growth technique, which has been developed for the III-V semiconductor QD stack by adjusting the compound contents, was adopted for the Ge QD stacking by introducing Si1-xCx layer as a spacer. The structures of Si1-xCx/QD and QD/Si1-xCx/QD on Si(100) substrates were formed by MBE. For the Si1-xCx/QD structure, the compressive strain in QD layer was relaxed by increasing C content, and almost fully relaxed QDs were obtained when x = 0.013. For the QD/Si1-xCx/QD structure, isolated Ge QDs were formed on the Si0.987C0.013 spacer while coalesced Ge QDs were formed on the pure Si spacer. The mean diameter and areal density of the Ge QDs on the Si0.987C0.013 spacer were 28 nm and 9 x 1010 cm-2, respectively. These results indicate that the Si1-xCx spacer is effective to compensate the compressive strain in the Ge QD layer. In the meeting, dependences of C content and Si1-xCx spacer thickness on the formation of the multilayered Ge QDs will be presented.

P.14.4
11:45
Authors : Klaus Y.-J. Hsu, Ya-Sen Chang
Affiliations : Institute of Electronics Engineering, National Tsing Hua University, Hsinchu, Taiwan

Resume : Photodetectors with high responsivity are beneficial to various application systems. In detection systems with light emitter-detector pairs, such as range finders and IR touch panels, more responsive detectors enable longer detection distance, larger panel size, and less power consumption. In addition, integration of photodetectors and corresponding circuitry on the same chip enables better system performance and smaller system form factor. Therefore, realizing responsive photodetectors in advanced Si-based process technologies is desired. The photocurrent responsivity typically seen in Si-based photodiodes, either p-n junction diodes or p-i-n diodes, is around 0.1 A/W. Two-terminal base-floating phototransistors usually show responsivity values between 1 A/W and 10 A/W, due to their inherent current gains. Previously, it was revealed that by reusing the parasitic substrate carriers with the so-called body-strapping technique, the responsivity of a two-terminal bipolar phototransistor could be enhanced up to 75 A/W for the incident light of 750 nm wavelength [1]. In this work, it is further demonstrated that the responsitivity of the two-terminal bipolar phototransistor with body-strapping can be enhanced by another order of magnitude, simply by changing the doping type of the substrate contact region in the P-substrate from P+-type to N+-type. Both device simulations and measurements have been performed to underline the physical mechanism. The demonstration has been conducted in a standard, commercial 0.18 um SiGe BiCMOS process, revealing the feasibility of realizing high-performance Si-based optoelectronic integrated circuits (OEIC). [1] Klaus Y.-J. Hsu, Ken S.-H. Shen, and Ya-Sen Chang, “Enhancing the Photoresponsivity of Bipolar Phototransistors for Near-IR Detection”, Applied Physics Letters 108, No. 3, p. 031112 (2016).

P.14.5
12:00
Authors : Son Tung PHAM (1), Lisa MICHEZ(1), Alain RANGUIS(1), Sylvain BERTAINA(2), Vinh LE THANH(1)
Affiliations : (1) Aix-Marseille Université - CNRS CINaM-UMR, 13288 Marseille, France E-mail: tung@cinam.univ-mrs.fr E-mail: michez@cinam.univ-mrs.fr E-mail: ranguis@cinam.univ-mrs.fr E-mail: vinh.le-thanh@univ-amu.fr (2) Aix-Marseille Université - CNRS IM2NP-UMR, 13397 Marseille, France E-mail: sylvain.bertaina@im2np.fr

Resume : The development of active spintronic devices requires an efficient spin injection into semiconductors, particularly into silicon (Si) or germanium (Ge). However compounds exhibiting both natural impedance match to group-IV semiconductors and high Curie temperature are noticeably lacking. Mn-doped Ge quantum dots (QDs) grown by self-assembly via Stranski-Krastanov growth mode on Si(001) would be an ideal candidate. Although ferromagnetism has already been observed above room temperature in this type of structures [Xiu et al, Nat. Mater. 9, 337 (2010)], these results have not been reproduced up to date [Kassim et al, Appl. Phys. Lett. 101, 242407 (2012)] probably due to Ge/Si inter-diffusion and Mn diffusion into the Si substrate during growth. We have therefore carried out an exhaustive study of their magnetic / morphological / structural properties by varying both growth temperature and Mn concentration. The first parameter directly influences the QDs size and the Mn-concentration present in the formed QDs. The second one leads to different dot morphology and to very different magnetic properties. Interestingly, we were able to demonstrate that inter-diffusion is suppressed in GeMn QDs grown at 400 °C. A Curie temperature of 230K has been measured in sample with 2% of Mn, which is considerably higher than that in thin film with equivalent Mn concentration. This comprehensive work constitutes the first step toward reproducible room-temperature ferromagnetism.

P.14.6
12:15
Authors : A.V. Dvurechenskii1, 2, A.I.Yakimov1,3, V.V.Kirienko1, A.A.Bloshkin1,2 A.A. Shklyaev1,2
Affiliations : 1 Rzhanov Institute of Semiconductor Physics, Siberian Branch of the Russian Academy of Sciences, Lavrent'eva 13, 630090 Novosibirsk, Russia 2 Novosibirsk State University, 630090 Novosibirsk, Russia 3 Tomsk State University, 634050 Tomsk, Russia

Resume : Quantum dot (QD) based infrared (IR) photodetectors (QDIPs) have the potential to make a significant impact on the next generation of IR imaging systems. Understanding of the escape and capture of charge carriers into QDs is essential for the development of QD-based devices. The effect of quantum dot charging on the mid-infrared photocurrent, optical gain, hole capture probability, and absorption quantum efficiency in remotely delta-doped Ge/Si quantum dot photodetectors was studied. The dot occupation with holes is controlled by varying dot and doping densities. The samples doped to contain from about one to nine holes per dot show an over 10 times gain enhancement and similar suppression of the hole capture probability with increased carrier population. The data are explained by quenching the capture process and increasing the photoexcited hole lifetime due to formation of the repulsive Coulomb potential of the extra holes inside the quantum dots. The normal incidence quantum efficiency is found to be strongly asymmetric with respect to applied bias polarity. Based on the polarization-dependent absorption measurements it is concluded that, at a positive voltage, photocurrent is originated from the bound-to-continuum transitions of holes between the ground state confined in Ge dots and the extended states of the Si matrix. At a negative bias polarity, the photoresponse is caused by optical excitation to a quasibound state confined near the valence band edge with subsequent tunneling to the Si valence band. The other phenomenon was found is the strong increasing of photoelectric gain and responsivity in QDIPs with sharp Ge/Si interface for Ge QDs. The work was funded by Russian Scientific Foundation (grant 14-12-00931).

P.14.7
 
Si-Nanocrystals: Carrier Dynamics & Charge Transport : Chairs: J. Heitmann, Z. Holman
14:00
Authors : Matthew Bergren and Matthew C. Beard
Affiliations : National Renewable Energy Laboratory

Resume : Time-resolved THz spectroscopy is typically used to probe the complex photoconductivity in a non-contact fashion with sub-picosecond temporal resolution. As such the THz spectra is sensitive to the nature of carriers within nanostructures. We simultaneously determined the charge carrier mobility and picosecond to nanosecond carrier dynamics of isolated silicon nanowires (Si NWs) and nanocrystals (Si NCs) using time-resolved terahertz spectroscopy. We then compared these results to data measured on bulk c-Si as a function of excitation fluence. We find >1 ns carrier lifetimes in Si NWs that are dominated by surface recombination with surface recombination velocities (SRV) between ~1100-1700 cm s-1 depending on process conditions. The Si QDs have markedly different decay dynamics. Initially, free-carriers are produced, but relax within ~1.5 ps to form bound excitons. Subsequently, the excitons decay with lifetimes >7ns, similar to free carriers produced in bulk Si. We show that the THz probe can distinguish between free-carriers and excitons. The THz probe therefore distinguishes the initially produced hot-carriers from excitons that are formed on a longer timescale. We report size-dependent exciton formation dynamics within colloidal silicon QDs. We find that the exciton formation time increases from ~600 fs - 1 ps, as the size of the QD is reduced. The isolated Si NWs exhibit bulk-like mobilities that decrease with increasing excitation density, while the hot-carrier mobilities in the Si NCs are lower than bulk mobilities and could only be measured within the initial 1.5 ps decay. At longer delay times we extract the exciton-polarizability, which follows an ~ dependence, consistent with previous reports for quantum-confined excitons. The ability to differentiate between excitons and free carriers makes time-resolved terahertz spectroscopy a powerful technique for understanding the complex carrier relaxation processes that can occur in semiconductor nanocrystals.

P.15.1
14:30
Authors : Vladimir Pushkarev(1), Hynek Němec(1), Sebastian Gutsch(2), Daniel Hiller(2), Jan Laube(2), Margit Zacharias(2), Tomáš Ostatnický(3), Petr Kužel(1).
Affiliations : 1 - Institute of Physics ASCR, Na Slovance 2, 18221 Prague 8, CZ; 2 - Laboratory for Nanotechnology, Department of Microsystems Engineering (IMTEK), University of Freiburg, Georges-Koehler-Allee 103,79110 Freiburg im Vreisgau, Germany Institution; 3 - Faculty of Mathematics and Physics, Charles University in Prague, Ke Karlovu 3, 12116 Prague 2, Czech Republic.

Resume : Investigation of microscopic nature of charge transport in Si nanocrystal networks can be a quite challenging task due to the nanometer dimensions, complex morphology of the structures and a typically broad size distribution of nanocrystals. The time-resolved terahertz (THz) spectroscopy is a useful technique allowing contact-free probing of charge transport on nanometer distances and ultrafast time scale. Superlattices of 4.5nm thick silicon-rich silicon oxide layers SiOx (0≤x≤1) in between 4 nm thick SiO2 barrier layers were deposited using plasma enhanced chemical vapor deposition. Silicon nanocrystals networks were obtained by means of thermal decomposition of silicon-rich layers. THz photoconductivity spectra of the samples were measured using conventional setup for time-resolved THz spectroscopy based on a Ti:sapphire amplifier. We show that the shapes of the conductivity spectra at 20 and 300 K do not differ much; this behavior corresponds to the quantum nature of the carrier confinement. For this reason we introduced quantum mechanical calculations of microscopic mobility in THz range based on linear response theory. We also introduce a distribution of nanocrystal sizes and the morphology parameters through a suitable effective medium theory. This allows us to transform the quantum microscopic mobility to the effective conductivity measured in the experiment and get information about the charge transport and the sample morphology.

P.15.2
14:45
Authors : Willi Aigner1), Julius Röwe1), Oliver Bienek1), Derese Desta2), Hartmut Wiggers3), Martin Stutzmann1), Rui N. Pereira1),3)
Affiliations : 1) Walter Schottky Institut, Technische Universität München, Am Coulombwall 4, 85748 Garching bei München, Germany 2) Institute for Nanostructures, Nanomodelling and Nanofabrication and Department of Physics, University of Aveiro, 3810-193 Aveiro, Portugal 3 ) Institute for Combustion and Gasdynamics – Reactive Fluids - and CENIDE, Center for Nanointegration Duisburg-Essen, Universität Duisburg-Essen, Carl-Benz-Straße 199, 47057 Duisburg, Germany

Resume : Understanding charge transport is fundamental to enable the use of silicon nanocrystal (Si NC) thin films in novel (opto)electronic devices that exploit the easy and inexpensive film deposition from nanoparticle solutions [1,2]. The reasons for the very low electrical conductivities reported so far for solution-processed Si NC films are unclear [1,2], though we know that percolation plays a central role [3]. Here, we present a thorough study of field-effect transistors made of spray-cast Si NC films to unveil the main intra- and inter-NC charge transport mechanisms and the depth profile dependence of transport properties. We study films of various thicknesses at different temperatures in the dark and under illumination with photons with varying penetration depth and compare the results with finite difference time domain simulations. From the temperature dependencies of the charge carrier density and mobility we conclude that intra-NC charge transport proceeds by hopping via trap states (transport level) located below the mobility edge, yielding an intra-NC mobility of ~1 cm2/Vs. The overall mobility is further reduced due to thermally-activated inter-NC charge transfer. We conclude also that below the top rough surface of the films, where charges cannot contribute to transport, the charge transport efficiency is homogeneous across the film thickness. [1] Z.C. Holman et al., Nano Lett. 10, 2661 (2010) [2] R.N. Pereira et al., Nano Lett. 14, 3817 (2014) [3] W. Aigner et al., Phys. Rev. Appl. 5, 054017 (2016)

P.15.3
15:00
Authors : T. Chlouba, F. Trojánek, D. Hiller, S. Gutsch, M. Zacharias, P. Malý
Affiliations : Department of Chemical Physics and Optics, Faculty of Mathematics and Physics, Charles University, Ke Karlovu 5, 12116 Praha 2, Czech Republic; Department of Chemical Physics and Optics, Faculty of Mathematics and Physics, Charles University, Ke Karlovu 5, 12116 Praha 2, Czech Republic; Department of Nanotechnology, IMTEK, Faculty of Engineering, Albert-Ludwigs-University Freiburg, Georges-Köhler-Allee 103, 79110 Freiburg, Germany; Department of Nanotechnology, IMTEK, Faculty of Engineering, Albert-Ludwigs-University Freiburg, Georges-Köhler-Allee 103, 79110 Freiburg, Germany; Department of Nanotechnology, IMTEK, Faculty of Engineering, Albert-Ludwigs-University Freiburg, Georges-Köhler-Allee 103, 79110 Freiburg, Germany; Department of Chemical Physics and Optics, Faculty of Mathematics and Physics, Charles University, Ke Karlovu 5, 12116 Praha 2, Czech Republic

Resume : Silicon nanocrystals/SiO2 superlattices have promising application potential in photovoltaics and photonics. Carrier recombination in silicon nanocrystals which affects strongly the photovoltaic charge collection efficiency has been investigated in detail during past two decades. Besides radiative recombination of excited electron-hole pair another, non-radiative, process can occur when at least two electron-hole pairs are excited within a nanocrystal. The latter nonlinear recombination is usually of the three-particle Auger type but also the bimolecular type of the decay was reported. Recently the possibility of interaction of carriers in different nanocrystals was considered. Here we report on simultaneous presence of both types of the nonlinear dynamics. We used femtosecond pump and probe technique (400 and 800 nm, 100 fs) to measure transient transmission in sets of well- defined silicon nanocrystals/SiO2 superlattices. We used a variety of experimental modifications to get a complete picture of the carrier dynamics. The effect of long-lived carriers in nanocrystals was revealed by changing the pulse repetition rate from 4 to 0.4 MHz and by using an additional cw photoexcitation of carriers. The experiments with kHz repetition rate and with a broad range of pump intensities showed that the carrier dynamics is of a standard three-particle Auger type under moderate pump levels is dominated rather by the bimolecular process at high pump intensities. The intensity at which the transition occurs was found to be dependent on the nanocrystal separation. The obtained picture of ultrafast carrier dynamics will be discussed.

P.15.4
 
Si-Nanoelectronics II : Chairs: M. Beard, W. Weber
15:45
Authors : Joachim Knoch
Affiliations : RWTH Aachen University, Institute of Semiconductor Electronics

Resume : The functionality of silicon devices such as transistors, solar cells etc. relies to a large extent on the ability to create appropriate doping profiles. However, due to the continued downscaling of device dimensions doping the semiconductor becomes increasingly difficult due to a number of fundamental reasons. For instance, dopants will be statistically distributed within the silicon nanostructures leading to random dopant-related device-to-device fluctuations of e.g. transistor characteristics. In addition, in silicon nanostructures dopants are increasingly deactivated with decreasing geometrical size of the nanostructure or dopants segregate during annealing reducing their volume concentration. Here we show an alternative approach for “doping” based on interface engineering using ultrathin dielectrics. Experimental as well as theoretical results will be presented and discussed.

P.16.1
16:15
Authors : D. König(ab), D. Hiller(b), N. Wilck(c), B. Berghoff(c), M. Müller(d), S. Thakur(e), G. Di Santo(e), L. Pettacia(e), J. Mayer(d), J. Knoch(c), M. Zacharias(b), S. Smith(a)
Affiliations : (a) Integrated Materials Design Centre, University of New South Wales, Australia (b) Chair of Nanotechnology, Institute of Microtechnology (IMTEK), Albert-Ludwigs University Freiburg, Germany (c) Institute of Semiconductor Electronics (IHT), RWTH Aachen University, Germany (d) Ernst-Ruska Center forMicroscopy and Spectroscopy with Electrons, RWTH Aachen University, Germany (e) Elettra - Sincrotrone Trieste, Basovizza, Trieste, Italy

Resume : Impurity doping of silicon (Si) nano volumes as currently used in ultra large scale integration (ULSI) devices faces serious challenges at miniaturization efforts below the 14 nm technology node. Dopant out-diffusion and inactivation by clustering are major issues for Si field effect transistors (FETs). Self-purification and a massive increase in ionization energy cause doping to fail at Si nanocrystals (NCs) which show quantum confinement. To introduce electron (n) or hole (p) type conductivity, ultrasmall Si electronic devices do not neccessarily require impurity doping, but an energy shift of electronic states with respect to the vacuum energy between different Si nano-volumes such as NCs. Here, we show in theory and experiment that ultrathin layers of silicon dioxide (SiO2) and silicon nitride (Si3N4) create considerable energy offsets of electronic states in embedded Si nano-volumes. Our findings render conventional impurity doping to be obsolete for ULSI, provide new opportunities for ultra-low power electronics and open a whole new vista on the introduction of p- and n-conductivities into Si nanovolumes.

P.16.2
16:30
Authors : Fabian Koehler; Dina H. Triyoso; Han Tao; Bianca Antonioli-Trepte; and Klaus Hempel
Affiliations : GLOBALFOUNDRIES, Wilschdorfer Landstrasse 101, 01109 Dresden, Germany (Fabian Koehler, Bianca Antonioli-Trepte; Klaus Hempel); GLOBALFOUNDRIES, 400 Stone Break Rd Extension, Malta, NY 12020, USA (Dina H. Triyoso; Han Tao)

Resume : Transistor performance, the key enabler for all our state-of-the-art electronic devices, has been improved immense during the last decades by continuous down-scaling. Now, as standard FET transistors reach their scaling limit, new sophisticated approaches find their way into mass production. GLOBALFOUNDRIES ramps both 7nm FinFET and 12nm FDSOI technologies for future applications, like the Internet of Things. This advanced transistor concepts allow further shrinking, but they also bring along new challenges: Reduced contact areas put more emphasis on the effects happening at the spacers. The fact that spacers built up an unintentional parasitic capacitance is well known, but it was still tolerable in larger device nodes. Typically, SiN was chosen as a spacer material because of its oxide-blocking characteristics and its durability in acids. Due to its large k value of around 7 SiN builds up a huge capacitor. Therefore it is essential to replace the standard SiN spacer material by a low-k material, while keeping all the current benefits. We have optimized and implemented an SiBCN spacer material with k = 5.5 which contains 3% boron and 7% carbon, measured by XPS. Precursors are dichloro-silane (SiH2Cl2), boron trichloride (BCl3), ethen (C2H4) and ammonia (NH3). An ALD process is used as it is superior with regards to thickness control, within-wafer-uniformity and matching of the thickness values between dense and isolated transistors.

P.16.3
16:45
Authors : Xuejiao Gao1, Bin Guan1, Abdelmadjid Mesli2,Kaixiang Chen1,Yaping Dan1
Affiliations : 1University of Michigan – Shanghai Jiao Tong University Joint Institute, Shanghai Jiao Tong University; 2Institut Matériaux Microélectronique Nanosciences de Provence, UMR 6242 CNRS, Université Aix-Marseille;

Resume : Doping by self-assembled molecular monolayers (SAMM) is a promising candidate of conventional doping technique, due to its advantages of forming ultra-shallow junctions [1], introducing no lattice damage to semiconductors, versatility and low cost. However, the dopant-carrying molecules might also introduce carbon, oxygen and hydrogen impurities into silicon during thermal doping process. These impurities are difficult to detect due to their atomic nature and low concentrations. It remains as open questions whether these impurities form defect energy levels, and how they affect electrical properties of the doped substrate. To answer these questions, herein we employ deep level transient spectroscopy (DLTS) and low-temperature Hall measurements to analyze deep energy levels in SAMM-doped silicon samples. The phosphorus-containing molecules (dodecyl phosphate) are covalently immobilized onto hydrogen-terminated Si (100) surfaces via a two-step surface modification strategy, which is characterized by X-ray photoelectron spectroscopy (XPS). The phosphorus dopants carried by the molecules are driven into the intrinsic silicon wafers by rapid thermal annealing (RTA) process, forming electrically active doping. Van der Pauw measurements show that the sheet resistance of the silicon substrates dramatically drops from 317 kΩ/□ to 1.1 kΩ/□. Low-temperature Hall measurements and secondary ion mass spectrometry indicate that phosphorus is the only electrically active dopant after the SAMM doping. However, during this process, at least 20% of the phosphorus dopants are electrically deactivated. DLTS is performed to investigate the defects responsible for such a deactivation. The results show that at least five energy levels, associated with interstitial carbon, are present in the band gap of silicon. The interstitial carbon (Ci) electrically deactivates the substitutional phosphorus (Ps) by forming various atomic configurations of Ci-Ps pairs [2]. Therefore, for SAMM doping technique, carbon in dopant-carrying molecules is recommended to be removed or controlled at low concentration before thermal annealing. [1] J. C. Ho, R. Yerushalmi, Z. A. Jacobson et al., Nature Materials, 7, 2008, 62-67. [2] X. D. Zhan and G. D. Watkins, Physical Review B, 47, 1993, 6363-6379.

P.16.4
 
Si-Photonics & Sensors : Chairs: J. Knoch, D. Hiller
17:15
Authors : William M. J. Green(1), Chi Xiong(1), Marwan Khater(1), Yves Martin(1), Eric J. Zhang(1), Chu C. Teng(2), Jason S. Orcutt(1), Laurent Schares(1), Tymon Barwicz(1), Nathan Marchack(1), Steven J. Holmes(1), Swetha Kamlapurkar(1), Sebastian Engelmann(1), and Gerard Wysocki(2)
Affiliations : 1 - IBM Thomas J. Watson Research Center, 1101 Kitchawan Rd, Yorktown Heights, NY 10598, USA 2 - Department of Electrical Engineering, Princeton University, Princeton NJ 08540

Resume : Due to the high radiative forcing of methane, fugitive natural gas leaks pose a significant challenge to the near-term environmental viability of oil and gas extraction. Reducing the subsequent environmental impact requires cost-effective sensor nodes for reliable, rapid, and continuous identification of extraneous methane emissions. The efficacy of laser spectroscopy has been widely demonstrated in both environmental and medical applications due to its sensitivity and specificity to the target analyte. However, the present cost and the lack of manufacturing scalability of free-space optical systems can limit their viability for economical wide-area sensor networks in localized leak detection applications. In this paper, we will review the development and performance of a cost-effective silicon photonic sensing platform. This platform uses silicon photonic waveguide and packaging technology to enable on-chip evanescent field spectroscopy of methane.

P.17.1
17:45
Authors : Daryoush Shiri
Affiliations : Department of Physics, Chalmers University of Technology, SE-412 96, Göteborg, Sweden

Resume : The second order nonlinear optical susceptibility in bulk silicon is absent due to its centrosymmetric crystal. Using Density Functional Theory (DFT) implemented in SIESTA, it is shown that the 2nd order susceptibilities of narrow (1nm-2nm) Silicon Nanowires (SiNW) can be enhanced as a result of symmetry breaking due to surface termination. For example χ(2) is enhanced up to 100 pm/V which is promising a strong Second Harmonic Generation (SHG) in SiNWs. For [100], [110] and [111] SiNWs, yxx component of χ(2) is 71, 106 and -37 pm/V, respectively. These are in the same range of enhanced values reported in experiments involving strained silicon waveguides e.g. 15 pm/V [1] and 40 pm/V [2]. Also the crystallographic direction and symmetry of nanowire cross section are proven to determine the values of yxx and xyy tensor components with respect to each other. In a [100] nanowire with square cross section, both values are similar (71 pm/V vs. 76 pm/V) as opposed to [110] and [111] with hexagonal cross sections. The third order susceptibility, χ(3) is in the range of 0.1e−18 to 2.5e−18 m2 /V2 which is close to the experimental values of bulk silicon (0.1e-18 to 0.2e−18 m2 /V2). However in SiNWs this quantity is anisotropic. This study suggests possibilities of enhancing SHG in SiNWs through symmetry breaking via strain and surface termination/reconstruction which is of importance for implementing silicon-based electro optical light modulators. [1] R. U. Jacobsen, et al, Strained silicon as a new electro-optic material, Nature 441, 199 ( 2006). [2] M. Cazzanelli, et al, Second-harmonic generation in silicon waveguides strained by silicon nitride, Nat. Mater. 11, 148, (2012).

P.17.2
18:00
Authors : Salvatore Petralia (1), Emanuele Luigi Sciuto (2), Sabrina Conoci (1).
Affiliations : (1) STMicroelectronics, Stradale Primosole 50, 95121 Catania Ialy; (2) Department of Physics and Astronomy, University of Catania, Via Santa Sofia 64, 95123 Catania, Italy

Resume : Novel microfluidic biofilter based on silicon micropillars has been prepared and tested. We demonstrate the aptitude of these nanostructures to extract pathogen DNA. The nanostructured device is composed by a silicon microchannel containing micropillars. The extracted DNA samples were analysed by Real-time PCR method. The results, here reported, shown that the pillars dimensions influence the DNA isolation in terms of binding and elution efficiency; moreover, these increase proportionally with the surface/volume ratio. Extraction efficiency reaches about 40% for the device exhibiting the highest SVR value. This efficiency value is about 16% higher than that measured with a commercial kit (under the same experimental conditions), which is probably the result of a synergy between the filter structure and the experimental protocol adopted. The device has also been tested in combination with the silicon microchip for Real-time PCR as a preliminary step towards the development of a fully-integrated genetic Point-of-Care device.

P.17.3

No abstract for this day


Symposium organizers
Daniel HILLER (Main organizer)Technical University Bergakademie Freiberg (TUBAF)

Institute of Applied Physics (IAP), Leipziger Str. 23 - 09599 Freiberg, Germany

daniel.hiller@physik.tu-freiberg.de
Dirk KÖNIGAustralian National Univ. Research School of Physics & Integrated Materials Design Centre (IMDL)

60 Mills Rd, Canberra, ACT 2601, Australia

dirk.koenig@anu.edu.au
Walter WEBERTU Wien

Institute of Solid State Electronics, Professorship of Nanoelectronics, Gußhausstraße 25-25a, A-1040 Wien, Austria

walter.weber@tuwien.ac.at
Zachary HOLMANArizona State University

School of Electrical, Computer and Energy Engineering, Tempe AZ 85287-9709, USA

zachary.holman@asu.edu